Pre-loader

Conference

# List of Publication by Dr. Pranab Roy
1 Pranab Roy,Debajyoti Pal,Tanmoy Biswas,Rupam Bhattacharya,Hafizur Rahaman, Bio-inspired Routing in DMFB: An Artificial Swarm Propagation Based Application, 1 - 11, 11th International Conference on Advances in Information Technology, 2020,ACM International Conference Proceeding Series, 2020
2 Pranab Roy,Amiya Sahoo,Mriganka Chakrabarty,Hafizur Rahaman, Microfluidic Cyberphysical Diagnostic System: An ANN Based Application, 1 - 6, 3rd IEEE International Symposium on Devices,Circuits and Systems,2020,IIEST,Shibpur,India, 2020
3 Pranab Roy, Arko Dutt, Hafizur Rahaman, 3-D IC: An Overview of Technologies, DesignMethodology and Test Strategies, at press, ”,International conference on frontiers in Computing and Systems,2020,Jalpaiguri,WB,India and Advances in Intelligent systems and computing(AISM,Springer), 2020
4 Pampa Howladar, Pranab Roy, Hafizur Rahaman, Micro-electrode-dot array based Biochips : Advantages of Using Different Shaped CMAs, IEEE Computer Society Annual Symposium on VLSI(ISVLSI),North Miami,Florida,USA, 2019
5 Pampa Howladar, Pranab Roy, Subhajit Chatterjee, Hafizur Rahaman, Daisy Chain Based Actuation Techniques for MEDA Based Biochips: A Detailed Analysis, 1- 6, 6th International Conference on Computing, Communication and Sensor Network ,Kolkata., 2018
6 Arindam Sinha Roy, Subrata Das, Pranab Roy, Hafizur Rahaman, An Angular Steiner Tree Based Global Routing Algorithm For Graphene Nanoribbon Circuit, 1 - 6, 22ND VLSI Design and Test Symposium (VDAT-2018), Madurai,India, 2018
7 Arindam Sinharoy, Pranab Roy, Hafizur Rahaman, Computing Fréchet Distance Metric based L-Shape Tile Decomposition for E-Beam Lithography, 313-318, Computing Fréchet Distance Metric based L-Shape Tile Decomposition for E-Beam Lithography, 2018
8 Pranab Roy, Amiya Sahoo,Hafizur Rahaman, Adaptive Medical Detection System: An Iterative Averaging Method for Automated Detection Analysis using DMFBs, 1 - 6, Proc. of 7th IEEE International Symposium on Embedded Computing and Systems Design,Durgapur,India, 2017
9 24. Arindam Sinharoy, Pranab Roy, Hafizur Rahaman, Hausdorff Distance Driven L-shape Matching Based Layout Decomposition for E- Beam Lithography, 711, 287 - 295, 21st VLSI Design and Test Symposium ,Roorkee,Springer CCIS ,, 2017
10 Arindam Sinharoy, Pranab Roy, Hafizur Rahaman, VLSI Thermal Placement issues:A cooperative game theory based approach, 106-111, 6 th IEEE International Symposium on Embedded Computing and Systems Design,Patna, 2016
11 Pampa Howladar, Pranab Roy, Hafizur Rahaman, An Automated Design of Pin-Constrained Digital Microfluidic Biochip on MEDA Architecture, 1565-1570, IEEE Fourth International Symposium on Women in Computing and Informatics (WCI-ICACCI),Jaipur,India, 2016
12 Arko Dutt, Pranab Roy, Hafizur Rahaman, TSV-Aware 3-D IC Structural Planning with Irregular Die-Size, 713 - 716, IEEE Asia Pacific Conference on Circuits & Systems,Jeju,Korea,, 2016
13 Pranab Roy, Sudeshna Chakraborty, Hafizur Rahaman, Synthesis aware sample preparation techniques using random sample sets in DMFB, 1 - 6, Proc. of 20th IEEE International symposium on VLSI Design and Test,Guwahati,India, 2016
14 Pranab Roy, Khokan Mondal,Mayuri Kundu,Hafizur Rahaman, A New Sample Preparation Technique for Linear Dilution Gradient with Minimal Sample Utilization and Waste Generation in DMFBs, 205-210, Proc, of 2nd IEEE conference on Electrical Information and Communication Technology ,Khulna, Bangladesh, 2015
15 31. Pranab Roy, Mriganka Chakrabarty, Aatreyi Bal, Hafizur Rahaman, Parthasarathi Dasgupta, Decision-based Biochips: A Novel Design for Concurrent Executionof Networked Bioassays integrated in Scalable DMFBs, 138 - 143, Proc, of 6th IEEE ASQED ,Kualalampur, Malaysia, 2015
16 Pranab Roy, Tamosa chakraborty, Hafizur Rahaman,Parthasarathi Dasgupta, Multilevel homogeneous detection analyzer for medical diagnostic application in Digital Microfluidic Biochips, 73 - 78, Proc. of IEEE International symposium of electronic system design,Suratkal, 2014
17 Pranab Roy, Aatreyi Bal, Tamosa Chakraborty, Mriganka Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta,, Optical detection in Biochips: A fuzzy based detection analyzer for homogeneous samples in DMFBs, 551 - 556, Proc. of IEEE CYBER,Hongkong,China, 2014
18 35. Pranab Roy, Samadrita Bhattacharya, Hafizur Rahaman, Parthasarathi Dasgupta, A new technique for layout based customized functional testing of modules in Digital Microfluidic Biochips, 1 - 6, Proc. of IEEE EWDTS,Kiev,Ukraine, 2014
19 Pranab Roy, Aatreyi Bal, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, Automated two stage detection and analyzer system in Multipartitioned Digital Microfluidic Biochips, 1836 -1840, Proc. of IEEE, ISCAS ,Melbourne, Australia, 2014
20 Indrajit Das, Manodipan Sahoo, Pranab Roy, Hafizur Rahaman, A 45 uW 13 pJ/conv-step 7.4-ENOB 40 kS/s SAR ADC for digital microfluidic biochip applications, 1 - 6, VDAT,2014, 2014
21 Pranab Roy,Hafizur Rahaman,Parthasarathi Dasgupta, A layout based customized testing technique for total microfluidic operations in Digital Microfluidic Biochips, 122-128, Proc. of IEEE,DDECS,Warsaw,Poland, 2014
22 Pranab Roy, Samadrita Bhattacharya,Rupam Bhattacharya,Firdousi Jamil Imam,Hafizur Rahaman,Parthasarathi Dasgupta, A novel wire planning technique for optimum pin utilization in Digital Microfluidic Biochips, 510 -515, Proc. of 27th IEEE International conference of VLSI Design,Mumbai,India, 2014
23 Pranab Roy, ,Aatreyi Bal, Mahua Raha Patra ,Hafizur Rahaman,Parthasarathi Dasgupta, Feedback based automated detection analysis in Digital Microfluidic Biochip Systems, 1 - 6, Proc. of IEEE International Conference on Control, Automation, Robotics and Embedded systems (CARE-2013),Jabalpur, 2013
24 Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, An intelligent Biochip System for Diagnostic Process Flow based Integration of Combined Detection Analyzer, 108 -112, Proc. of IEEE ISED,Singapore, 2013
25 Pranab Roy, Parthasarathi Gupta, Hafizur Rahaman, Parthasarathi Dasgupta, A new customized testing technique using a novel design of droplet motion detector for digital microfluidic Biochip systems, 897-902, Proc of IEEE ICACCI, Mysore ,India, 2013
26 43. Pranab Roy,Rupam Bhattacharya,Pampa Howladar,Hafizur Rahaman,Parthasarathi Dasgupta, A new cross contamination aware routing method with intelligent path exploration in Digital Microfluidic Biochips, 50 - 55, Proc. Of IEEE DTIS Conference 2013, Abu Dhabi,UAE, 2013
27 Pranab Roy, Samadrita Bhattacharya, Rupam Bhattacharya, Hafizur Rahaman,Parthasarathi Dasgupta, A new method for route based synthesis and placement in Digital Microfluidic Biochips, 361-375, Proc. Of VDAT , Springer CCIS, Jaipur ,India,, 2013
28 Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, Digital Microfluidic System:A new design for heterogeneous sample based integration of multiple DMFBs, 1905-1909, Proc. of IEEE, ISCAS ,Beijing, China, 2013
29 Pranab Roy, Modud Sohid, Sudipta Chakraborty, A new digital analyzer for optically detected samples in Digital Microfluidic Biochips, 462-465, Proc. Of IEEE MWSCAS, Boise, Idaho, US, 2012
30 47. Pranab Roy, Modud Sohid, Sudipta Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta,, System on Biochips: A new design for integration of multiple DMFBs, 256-260, Proc. Of IEEE ISED,Kolkata ,India, 2012
31 48. Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, A New design of a dual mode Bioassay detection analyzer for digital microfluidic biochips, 310-313, Proc. Of IEEE CODIS 2012,Jadabpur, Kolkata,India, 2012
32 Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, “ Novel designs of Digital detection analyzer for intelligent detectionand analysis in digital microfluidic Biochips, 1 - 6, Proc. of IEEE IDT , Doha, Qatar, 2012
33 50. Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, Automated parallel detection based analyzer System for integrated bioassays in Digital Microfluidic Biochips, 310-315, Proc of IEEE El Nano ,kiev,Ukraine, 2012
34 Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta, Bhargab B. Bhattacharya, A New Look Ahead Technique for Customized Testing in Digital Microfluidic Biochips, 25-30, Proc. of IEEE ATS 2012,Nigata,Japan, 2012
35 Pranab Roy, Rupam Bhattacharjee, Modud Sohid, Sudipta Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta, An intelligent compaction technique for pin constrained routing in cross referencing digital microfluidic biochips, 423-432, Proc. of ACM CODES+ISSS 2012,Tempere,Finland, 2012
36 Pranab Roy, Rupam Bhattacharjee, Hafizur Rahaman, Parthasarathi Dasgupta, A New Algorithm for Routing-Aware Net Placement in Cross-Referencing Digital Microfluidic Biochips, 320-325, Proc. of IEEE ISVLSI 2012,Amherst,MS,US, 2012
37 Pranab Roy, Hafizur Rahaman, Parthasarathi dasgupta, Modelling, detection and diagnosis of multiple faults in Cross referencing DMFBs, 1107-1112, International conference on Informatics ,Electronics and Vision,Proc. Of IEEE ICIEV, 2012, Dhaka, Bangladesh, 2012
38 Pranab Roy, Hafizur Rahaman and P.S.Dasgupta, A novel high performance routing technique for Cross-referencing DMFBs, 44-49, Proc. Of IEEE International Conference on Biomedical Engineering (ICOBE 2012,Penang,Malaysia), 2012
39 Pranab Roy, Rupam Bhattacharya, Hafizur Rahaman and Parthasarathi Dasgupta, A Best Path Selection Based Parallel Router For DMFBs, 176-181, Proc. of IEEE International Symposium on Electronic Design ISED 2011,Kochi,India,, 2011
40 Nachiketa Das, Pranab Roy and Hafizur Rahaman, Runtime Congestion and Crosstalk Aware Router for FPGA Using Jbits3.0 for Partial Reconfigurable Application, 146-151, IEEE International Symposium on Electronic Design (ISED 2011), 2011
41 Pranab Roy, Sukanta Roy, Hafizur Rahaman, and Parthasarathi Dasgupta, A Novel Placement algorithm for Multi-pin Digital Microfluidic Biochips, 1 - 6, Proc. of IEEE MWSCAS, 2011
42 Pranab Roy, Hafizur Rahaman, and Parthasarathi Dasgupta, Route Aware Placement Technique for Intelligent Collision Avoidance in Digital Microfluidic Biochips, 85-90, Proc. of IEEE ASQED,Kualalampur,Malaysia, 2011
43 61. Nachiketa. Das,Pranab Roy,Parthasarathi Dasgupta and H. Rahaman, Build-In-Self-Test of FPGA For Diagnosis of Delay Fault, 54-59, IEEE ASQED ,Kualalampur,Malaysia, 2011
44 Pranab Roy, Hafizur Rahaman, and Parthasarathi Dasgupta, A Group-Preferential Parallel-Routing Algorithm for Cross-referencing Digital Microfluidic Biochips, 317-319, Proc. of IEEE/ACM ISVLSI Chennai,India, 2011
45 Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta, Hierarchical Multi-pin droplet routing in Digital Microfluidic Biochips with Intelligent Collision Avoidance, 229-234, Proc. of ACM Great Lakes Symposium on VLSI 2011 (GLSVLSI 2011),Lausanne ,Switzerland, 2011
46 Nachiketa Das, Pranab Roy, and Hafizur Rahaman, On-Line Detection of Crosstalk Fault in FPGA Using BIST Model,” VLSI Design and Test Symposium, 1 - 6, VDAT,2011, 2011
47 Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta, A Multipin droplet routing algorithm for Digital Microfluidic Biochips biodevices, 217-223, Proc. of INSTICC Biodevices, 2011 ,Rome,Italy, 2011
48 Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta, Cluster Based Routing For Multi Pin Droplets In Digital Microfluidic Biochips with Intelligent Collision Avoidance, 1 - 6, Proc. of VLSI Design and Test Symposium(VDAT 2011),Pune,India, 2011
49 Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta, A Novel Droplet Routing Algorithm for Digital Microfluidic Biochips, 441-446, Proc. of ACM/IEEE GLSVLSI ,Providance,USA, 2010
50 Pranab Roy, Tuhina Samanta, Hafizur Rahaman, Parthasarathi Dasgupta, “New Techniques for Droplet Routing in Digital Microfluidic Biochips, 1 - 6, Proc. of VLSI Design and Test Symposium (VDAT 2010) ,Chandigarh, India, 2010
51 N. Das, Pranab Roy, and H. Rahaman, On Line Testing of Single Feedback Bridging Fault in Cluster Based FPGA by Using Asynchronous Element, 190-191, proc. of IEEE International On-Line Testing Symposium,Kos,Grecce, 2009
52 73. S. Ghosh, Pranab Roy, S. P. Maity and H. Rahaman, Spread Spectrum Image Watermarking with Digital Design, 2118-2123, IEEE International Advance Computing Conference (IACC’09), Hamirpur , India, 2009

Created: 23 November 2019