Pre-loader

School of VLSI Technology

About the School


The School was established on July, 2006 starting with a flagship course of M-Tech (VLSI Design) with an intake 12 students. There was a special initiative from Ministry of IT, Govt. of India with a Special Manpower Development Project (Phase II) for necessary help to establish School. The need to integrate to efforts of scientists and engineers working with different fields of microelectronics and semiconductors devices has been the primary motivation of creation of this school. Faculty members of this Institute are also running a number of industry and Govt. funded research projects with active participation of SOVLSIT. A number of tools have been developed to carry out the VLSI research. About 8 PhD theses have been completed during the last five years in the field of VLSI design and test. Another seven students have already been registered for PhD in this area.

In the School of VLSI Technology, we have sufficient latest VLSI tools and hardware in our VLSI and Embedded systems Laboratories. The UG/PG/Doctoral students from Information Technology/Computer Science/Electronic Engg./Electrical Engg. are getting exposure with these industry standard tools and equipment. In eastern India, only two or three university/institutes have this type of laboratory.

M.Tech (VLSI Design) course under SMDP-II project was started during academic session 2006-2007 and continues to be a sought after program of the institute. Students of SOVLSIT have established their credentials through recruitment of international VLSI Design Companies and selection in PhD admission to different research laboratories/ IIT/IISC/ISI/Foreign Universities.

Vision & Mission


VLSI being an interdisciplinary field involving various aspects of electrical, communications, computer science, information technology, semiconductor physics and materials science, the SOVLSIT closely collaborates with other departments within BESU and other reputed academic institutions in both India and abroad to cater to the needs of the engineers in the making.

The research and education at School of VLSI Technology is closely associated with industry and several other primary academic Institutions of repute with an aim to foster cutting edge research and establishes the School as one of the pronounced leaders in field of VLSI and Microelectronics.

Academic Programs


# Title Duration Specializations Curriculum
1 Master of Technology (M. Tech.)
2 years

VLSI Design

Programmes Offered
Post Graduate Level
I. Degree offered : M. Tech in VLSI Design
II. Sanctioned students’ intake : 18
III. Specializations in : VLSI Design

About the M-Tech. program

The M-Tech. program is a two-year course oriented graduate program. The student has to take a set of core courses and a set of electives. The course work is spread accross the first two semesters with an option of taking one elective in the third semester. This is followed by a project in the third and fourth semester in which the student can take up a project of his or her interest, supervised by a faculty member.

Course Structure and Syllabus of Master of Technology in VLSI Design

Download

 

2 Doctor of Philosophy (Ph. D.)

Programmes Offered

Doctoral & Post Doctoral Research Programme
I. Degree offered : PhD (Engineering)
II. No of Candidates enrolled : 01
III. No. of Candidates registered: 11
IV. PhD Awarded using resources of School of VLSI Technology – 12

About the Ph.D. program

The PhD. programs are postgraduate research oriented programs. The scholar works in an area of his/her interest under the supervision of a faculty member. The scholar has to obtain a minimum number of credits by taking courses. The highlight of the program is the independent research work taken by scholar, leading to a dissertation at the end of the program. The average duration of a PhD. program is between four to five years.

 

Research Areas


1 3D IC and 3D Biochips

3D IC is a chip in which two or more layers of active electronic components are integrated both vertically and horizontally into a single circuit. To continue reducing the cost per function, even in the deeper nanoscale technology node a possible solution is to move to a 3 Dimensional (3D) stacking of ICs to bridge the gap between the capabilities of traditional 2D scaling and future system requirements. The challenges being faced include, bandwidth improvement, power and form factor reduction, heterogeneous integration, and modular design requirements. By leveraging 3D IC integration, these challenges may be overcome. The goal is to concurrently explore the technology and design issues associate with 3D technology applications for next generation high performance networking systems.

Microfluidic Biochip: The recent advancement in microfabrication and microfluidic technology has made it possible to shrink an entire laboratory based protocol into a single lab-on-chip system. The development of such devices has made great impact in the domains of biotechnology, pharmacology, medical diagnostics, forensics, environmental monitoring and other basic research. A more recent variation in Lab on chip devices namely Digital microfluidic biochip (DFMB) systems have been developed as a promising platform for Lab-on-chip systems that manipulate individual droplet of chemicals on a 2D planar array of electrodes.

2 Analog and Mixed Signals
3 Bio-chip Design Automation
4 Circuit Design and Implementation

As department of VLSI design is developed primarily to cater to the needs of industry, the aim of the circuit design group here is to work on live industrial and research projects. Strong collaboration with industry as well as leading institutes of national and international importance and other premier research labs is necessary to achieve our goal. As the energy security is one of the main concern that haunts the mankind, our prime focus is to design low power circuits to suit the needs of our day to day life. As our mission is to work on projects that will benefit human being we are keenly interested to work on projects for biomedical applications e.g. frontend and backend circuits for various imaging applications, Biochip applications, Neural ECG/EEG recording and associated signal processing circuitry. Some of the other areas of our interest are on low power/energy communication, energy harvesting, adaptive/reconfigurable ASIC design.

Silicon photonics is an upcoming but broad area of research having several industrial applications e.g. telecommunications and high performance computing. In the computing scenario there are several innovative solutions like photonic reservoir computing (photonic neural networks), quantum computing, big data applications and many core systems. Our photonics research focus is on the study and development of photonic interconnects for high bandwidth on-chip communication. We are also investigating the use of photonic crystals in the development of on-chip communication resources.

Application Specific Integrated Circuit (ASIC) design for Medical Imaging system like Positron Emission Tomography (PET) is in progress. ASIC will process electrical signal produced by Gamma Ray detection using Scintillator crystal showing concentration of biologically active Nuclear tracer compound. This project is planned to be carried out in collaboration with VECC, Kolkata. 

 

5 Devices and Emerging Technology Group

With the recent advances in nanotechnology (nanoelectronics in particular), the door for innovation and product development for electronic applications has been forced wide open. The impact on nanotechnology has brought a paradigm shift in the VLSI sector. Advanced devices based on nanotechnology are set to change the face of the microchip in the coming years.

The computational study of post-Silicon MOS devicesespecially 2-D channel material (like MX­2, Graphene, Topological Insulator) FET with superior switching characteristics, is new and expanding area of research. Also the tunnel FET with its low power consumption and small subthreshold slope is fast emerging as a suitable candidate for the deeper nanoscale technology node.The major advantages of 2-D channels over bulk channels in MOSFET are the higher carrier mobility and the better electrostatic control over the channel. In addition,2-D materials possess optical transparency and mechanical flexibility which are suited for optoelectronics applications and flexible ICs. We venture to undertake development of numerical simulation software for 2-D channel material MOS Transistorsand TunnelFET. Our aim is not merely to obtain the various MOSFET parameters and output characteristics of these devices, but also to study the impact of non-ideality of 2-D channels (namely ripples, defects, impurities and thermal instabilities) and their impact on overall MOSFET performance.Further we look to develop analytical models for sensor applications of Tunnel FETs, CNT-FET. Also in focus are advanced memory devices like magnetic tunnel junction (MTJ) and resistive switching memories.

Limitations of conventional Copper interconnects is driving research for alternative interconnect materials and technologies for next-generation ICs. In this research, Carbon nanotubes, with their many attractive properties, are emerging as the frontrunners to potentially replace copper for interconnect. As interest in CNT and graphenenanoribbonbased interconnects gains momentum, a thermal analysis, circuit model and RF performanceanalysisof these interconnects is a very important area of research.

Microfluidic Biochip:The recent advancement in microfabrication and microfluidic technology has made it possible to shrink an entire laboratory based protocol into a single lab-on-chip system. The development of such devices has made great impact in the domains of biotechnology, pharmacology, medical diagnostics, forensics, environmental monitoring and other basic research. A more recent variation in Lab on chip devices namely Digital microfluidic biochip (DFMB) systems have been developed as a promising platform for Lab-on-chip systems that manipulate individual droplet of chemicals on a 2D planar array of electrodes.

 

6 Digital VLSI Design
7 Digital Watermarking
8 Emerging devices and Interconnects
9 FPGA Synthesis and Testing
10 Nanoelectronics
11 Network on Chip
12 NOC & SOC Design
13 System on Chip Architectures
14 VLSI Architectures
15 VLSI Physical Design Automation
16 VLSI Systems Design and Development Group

Intelligent systems are a new wave of embedded and real-time systems that are highly connected, with massive processing power and performing complex applications. Intelligent systems (IS) provide a standardized methodological approach to solve important and fairly complex problems and obtain consistent and reliable results over time .Their pervasiveness is reshaping the real world and how we interact with our digital life .Application can be found in all domains: automotive, rail, aerospace, defence, energy, healthcare, telecoms and consumer electronics.

3D IC is a chip in which two or more layers of active electronic components are integrated both vertically and horizontally into a single circuit. To continue reducing the cost per function, even in the deeper nanoscale technology node a possible solution is to move to a 3 Dimensional (3D) stacking of ICs to bridge the gap between the capabilities of traditional 2D scaling and future system requirements.The challenges being faced include, bandwidth improvement, power and form factor reduction, heterogeneous integration, and modular design requirements. By leveraging 3D IC integration, these challenges may be overcome. The goal is to concurrently explore the technology and design issues associate with 3D technology applications for next generation high performance networking systems.

As for the data security and architecture part, our work is mostly focused on watermarking techniques. There are numerous different types of algorithms proposed in the current literature on Digital Image Watermarking methods but comparatively less focus have been imparted on the hardware realization of these algorithms due to the compatibility and complexity issues of the digital signal processing algorithm for image  watermarking with respect to VLSI implementation.Thus my research motivation and focus is on implementable and efficient VLSI architectures for digital image watermarking algorithms which could be optimized for either area consumption or speed or power utilization.The main application area for these architectures include the copy-right protection, authentication and digital rights management of multimedia.

 

17 VLSI Testing

Staff Members


Aloke Mandol

Group-D Staff
Supportive
alokemondal1975@gmail.com

Goutam Paul

Technical Assistant
Technical
goutam@vlsi.iiests.ac.in

Koushik Dey

Group-D Staff
Supportive
koushik.iiest.vlsi@gmail.com

Research Scholars


Anindita Chakraborty
Ph. D.
anindita.chakraborty.87@gmail.com

Research:
Memristor-based implementation of logic primitives and slicing architecture for fast computation.

Supervisor: Hafizur Rahaman

Annapurna Mondal
Ph. D.
write.to.annapurna@gmail.com

Research:
Circuits and Systems

Supervisor: Hafizur Rahaman

Arnab Mukherjee
Ph. D.
arnabm.electinstru@gmail.com
Supervisor: Hafizur Rahaman

Debaprasad Das
Ph. D.
dasdebaprasad@yahoo.co.in

Research:
Circuits and Systems

Supervisor: Hafizur Rahaman

Indrajit Pan
Ph. D.
p.indrajit@gmail.com

Research:
Design and Analysis of Droplet Routing Algorithms for Digital Microfluidic Biochips

Supervisor: Tuhina Samanta
Co-Supervisor: Hafizur Rahaman

Kunal Sinha
Ph. D.
kunalsinha84@yahoo.co.in

Research:
Performance-Aware Stress Engineering for Nano-scaled FETs with Embedded SiGe Source and Drain

Supervisor: Hafizur Rahaman

Laxmidhar Biswal
Ph. D.
laxmidhar.cvrce@gmail.com

Research:
Fault-tolerant Techniques for Synthesis of Quantum Circuits

Supervisor: Hafizur Rahaman

Lupamudra Banerjee
Ph. D.
lopa.banerjee2003@gmail.com

Research:
Performance analysis of alternative 2-D channel materials in MOSFET

Supervisor: Hafizur Rahaman

Manas Kumar Parai
Ph. D.
manasparai@gmail.com

Research:
Fault Detection in Analog Circuits

Supervisor: Hafizur Rahaman
Co-Supervisor: Kasturi Ghosh

Nachiketa Das
Ph. D.
nachiketad@gmail.com

Research:
Issues of Design and Test of Field Programmable gate Array (FPGA)

Supervisor: Hafizur Rahaman

Partha Sarathi Gupta
Ph. D.
gupta_parthasarathi@yahoo.co.in

Research:
Tunnel Field Effect Transistors for Optoelectronic Applications

Supervisor: Hafizur Rahaman

Sabir Ali Mondal
Ph. D.
sabir.besus@gmail.com

Research:
Circuits and Systems

Supervisor: Hafizur Rahaman

Sandip Bhattacharya
Ph. D.
1983.sandip@gmail.com

Research:
1. Interconnect modeling for next generation on-chip integrated circuit design

Supervisor: Hafizur Rahaman

Sarosij Adak
Ph. D.
sarosijadak@gmail.com

Research:
Analysis of GaN Based Heterostructure Nano Devices

Supervisor: Hafizur Rahaman

Sayan Kanungo
Ph. D.
sayan.kanungo@hyderabad.bits-pilani.ac.in

Research:
Design of Dielectrically Modulated Field Effect Transistor for Electrochemical Biosensing

Supervisor: Hafizur Rahaman

Subhajit Chatterjee
Ph. D.
subhajit20@gmail.com

Research:
3D IC testing and verification.

Supervisor: Surajit Kumar Roy
Co-Supervisor: Hafizur Rahaman

Subhajit Das
Ph. D.
sjd.subha@gmail.com

Research:
Interconnect modeling for future VLSI circuit design High Power on-chip circuit design

Supervisor: Hafizur Rahaman

Sudip Poddar
Ph. D.
sudippoddar2006@gmail.com

Research:
Error-tolerant sample preparation with Digital microfluidic lab-on-chip

Supervisor: Hafizur Rahaman

Sudip Ghosh
Ph. D.
sudip_etc@yahoo.co.in

Research:
Circuits and Systems

Supervisor: Hafizur Rahaman
Co-Supervisor: Santi Prasad Maity

Sudipta Bardhan
Ph. D.
sudipta.bardhan15@gmail.com

Research:
Modelling and Simulation of Graphene FET for VLSI Circuit Applications

Supervisor: Hafizur Rahaman

Supriyo Srimani
Ph. D.
supriyosriman.rs2016@vlsi.iiests.ac.in

Research:
Fault detection in Analog and Mixed Signal circuits using statistical and machine learning models

Supervisor: Hafizur Rahaman

Projects


  • 1 Framing the Tripura State Solar Policy
    Funding Agent: Ministry of Power, Tripura
    PI: Co-PI
    Consultants: Co-PI

  • 2 UK India Clean Energy Research Institute (UKICERI)
    Funding Agent: DST
    Consultants: Co-PI

  • 3 Study of Pattern of Energy Consumption and Installation of Energy saving Device
    Funding Agent: Client- Industrial Repose, Pvt. Ltd. Howrah
    PI: Consultant

  • 4 Smart Microgrid , Ministry of Power, GoWB
    Funding Agent: Sponsored
    Consultants: Co-PI

  • 5 Development of an efficient staple yarn characterization unit with multi- sensor fusion and field programmable gate array(FPGA) based data reduction card, DST, GoI
    Funding Agent:
    Consultants: Co-PI,

  • 6 A Smart energy Saving Device for Power Factor Control And Power Quality Monitoring Year of Sanction & duration:
    Funding Agent:
    PI: PI
    Consultants: Prof. P. Syam

  • 7 "Going Remote – Solar Energy for Lighting and Hygienic Sanitation with Smart Exhaust system for Rural Applications”.
    Funding Agent:
    PI: Konika Das(Bhattacharya)

  • 8 Testing and design of CMOS linear and non-linear analog VLSI circuits, Agency:Women Scientist Scheme (WOS-A), DST, Govt. of India, Year: 2011-14, (Role: PI)
    Funding Agent: Women Scientist Scheme-A, Department of Science and Technology, Government of India
    PI: Kasturi Ghosh

  • 9 Device, Circuits and Architectures for implementing Probabilistic Spin Logic for Energy Efficient Boolean and Non-Boolean Computing
    Funding Agent: Sparc, MHRD, GoI
    PI: Prof.Hafizur Rahaman

  • 10 Development of high efficiency power electronic converter technology using next generation Si/SiC-based switching devices with integrated gate drivers for high frequency operation at reduced losses
    Funding Agent: Sparc, MHRD, GoI
    PI: Prof.Hafizur Rahaman

  • 11 Design-for-Test Solutions for 3D Integrated circuits Amount-INR 89,34,225/- Sponsoring Agency: Sparc, MHRD, GoI
    Funding Agent: Sparc, MHRD, GoI
    PI: Prof.Hafizur Rahaman

  • 12 Design and ASIC implementation of Data Converter to be embedded with Versatile Data Acquisition and Signal Processing Platform
    Funding Agent: Diety, MCIT, Gov. of India
    PI: Prof.Hafizur Rahaman

  • 13 Testing and Design-for-Testability for Digital Integrated Circuits (Foreign Faculty: Prof. Krishnendu Chakrabarty, IEEE/ACM Fellow, Duke University, USA Host Faculty: Prof. Hafizur Rahaman)
    Funding Agent: GIAN Programme, MHRD
    PI: Prof.Hafizur Rahaman

  • 14 Charge and Spin Based Electronics: From Devices to Circuits and Systems (Foreign Faculty: Prof. Kaushik Roy, IEEE Fellow, Purdue University, USA Host Faculty: Hafizur Rahaman)
    Funding Agent: GIAN Programme, MHRD
    PI: Prof.Hafizur Rahaman

  • 15 Synthesis of Reversible Circuits using Probabilistic Methods and Functional Transformations
    Funding Agent: DST, India
    PI: Prof.Hafizur Rahaman

  • 16 Design and ASIC Implementation of S-Box Circuit for Efficient Implementation of AES Algorithm
    Funding Agent: DIT, Govt. of WB, India
    PI: Prof.Hafizur Rahaman-(Sole)

  • 17 Design and ASIC Implementation of VCO integrated with a buffer for gas sensing applications in mines and High Slew Rate High Gain Comparator for Low Phase Detection
    Funding Agent: DIT, MCIT, Govt. of India
    PI: Prof.Hafizur Rahaman

  • 18 Design and Development of Simulation Framework on Process and Device using Synopsys TCAD
    Funding Agent: AICTE India
    PI: Prof.Hafizur Rahaman- PI (Sole)

  • 19 Development of Computing Architecture in Cloud Environment
    Funding Agent: Cognizant Technologies Solution Ltd (CTS), Kolkata, India
    PI: Prof. Hafizur Rahaman (Sole)

  • 20 Efficient Test infrastructure Design for 3D Multi-core Integrated Circuits
    Funding Agent: University Grant Commission (UGC),India
    PI: PI :- Prof.Chandan Giri andCo PI: Prof.Hafizur Rahaman

  • 21 Efficient Synthesis of Optimized Testable Hardware for Polynomials over GF(2m)
    Funding Agent: Royal Society, United Kingdom
    PI: Prof. Hafizur Rahaman-PI (Sole)

  • 22 Development of FPGA Band Embedded System for Network on Chip (NOC) Application
    Funding Agent: AICTE, India
    PI: PI : Prof.P. Ghosal and Co-PI -Prof. Hafizur Rahaman
    Consultants: Prof. Hafizur Rahaman

  • 23 Fault Tolerant Routing in Wireless Sensor Networks
    Funding Agent: University Grant Commission (UGC),India
    PI: PI- Prof.Indrajit Banerjee and Co-PI- Prof. Hafizur Rahaman
    Consultants: Hafizur Rahaman

  • Publications


  • 1 Malay Kule, Habibur Rahaman, Hafizur Rahaman, Bhargab B Bhattacharya, Bio-Inspired Methods for Defect-Tolerant Function-Mapping in Nano-Crossbar Arrays, 70, 1861-1870, IETE Journal of Research, 2024
  • 2 Sudipta Bardhan, Manodipan Sahoo, Jagannath Samanta, Hafizur Rahaman, A Quasi-Ballistic Model for Short Channel Monolayer Graphene Field Effect Transistor Including Scattering Effects, 1-12, IETE Journal of Research, 2024
  • 3 Hiranmay Samanta, ANKUR BHATTACHARJEE, Tuhin Kumar Barui, Chayan Bandyopadhyay, Konika Das Bhattacharya, Samarjit Sengupta, Hiranmay Saha, A Novel Low-cost Solution for Mitigating the Loss of Power Supply Probability in Grid-tied Solar PV Systems during Daytime Grid-outage Scenario, Vol 13, No 1 (2023) March, International Journal of Renewable Energy Research-IJRER, 2023
  • 4 Chandan Bandyopadhyay, Anirban Bhattacharjee, Robert Wille, Rolf Drechsler, Hafizur Rahaman, Improving the Designs of Nearest Neighbour Quantum Circuits for 1D and 2D Architectures, 69, 340-353, IETE Journal of Research, 2023
  • 5 Debajyoty Banik, Hafizur Rahaman, Quantum-dot cellular automata latches for reversible logic using wave clocking scheme, 69, 309-324, IETE Journal of Research, 2023
  • 6 Ajoy Kumar Saha, Partha Sarathi Gupta, Hafizur Rahaman, First principle study of electronic and optical properties of WS2 (1-x) Se2x obtained by isoelectronic Se substitution on S-site of monolayer WS2, 98, 065906, Physica Scripta, 2023
  • 7 M Parai, S Srimani, K Ghosh, H Rahaman, Experimental Verification of a New Oscillation-based Test Algorithm for Analog Circuits, 69, 6255-6265, IETE Journal of Research, 2023
  • 8 Rashid Jamal, Partha Sarathi Gupta, Hafizur Rahaman, Step Graded Floating Island Embedded Drift Design Engineering for High-Performance Vertical Power Devices, 70, 6190 - 6195, IEEE Transactions on Electron Devices, 2023
  • 9 Anirban Bhattacharjee, Chandan Bandyopadhyay, Hafizur Rahaman, A Novel Heuristic Method for Linear Nearest Neighbour Realization of Reversible Circuits, 69, 7169-7187, IETE Journal of Research, 2023
  • 10 Anakhi Hazarika, Soumyajit Poddar, Moustafa M Nasralla, Hafizur Rahaman, Area and energy efficient shift and accumulator unit for object detection in IoT applications, 61, 795-809, Alexandria Engineering Journal, 2022
  • 11 Sudipta Bardhan, Manodipan Sahoo, Hafizur Rahaman, Empirical drain current model of graphene field-effect transistor for application as a circuit simulation tool, 68, 645-657, IETE Journal of Research, 2022
  • 12 Sudip Ghosh, Yuvam Bhateja, Joshua Roy Palathinkal, Hafizur Rahaman, Hardware Design with Real-Time Implementation for Security of Medical Images and EPMR, 41, 867-891, Circuits, Systems, and Signal Processing, 2022
  • 13 Rakesh Das, Chandan Bandyopadhyay, Hafizur Rahaman, An improved synthesis technique for optical circuits using MIG and XMG, 120, 105341, Microelectronics Journal, 2022
  • 14 Bappaditya Mondal, Chandan Bandyopadhyay, Dipak Kumar Kole, Debesh Kumar Das, Hafizur Rahaman, Test generation from boolean generator for detection of missing gate faults (MGF) in reversible circuit using boolean difference method, 68, 1091-1107, IETE Journal of Research, 2022
  • 15 Manas Parai, Supriyo Srimani, Kasturi Ghosh, Hafizur Rahaman, Multi-source data fusion technique for parametric fault diagnosis in analog circuits, 84, 92-101, Integration, 2022
  • 16 Sandip Bhattacharya, Debaprasad Das, Hafizur Rahaman, Analysis of temperature-dependent crosstalk for graphene nanoribbon and copper interconnects, 68, 1789-1800, IETE Journal of Research, 2022
  • 17 Monika Kumari, Niraj Kumar Singh, Manodipan Sahoo, Hafizur Rahaman, 2-D analytical modeling and simulation of dual material, double gate, gate stack engineered, junctionless MOSFET based biosensor with enhanced sensitivity, 14, 4473–4484, Silicon, 2022
  • 18 Soumajit Ghosh, M Miura-Mattausch, T Iizuka, Hafizur Rahaman, HJ Mattausch, Optimization of Low-Voltage-Operating Conditions for MG-MOSFETs, 10, 913-919, IEEE Journal of the Electron Devices Society, 2022
  • 19 Joyati Mondal, Dipak Kumar Kole, Hafizur Rahaman, Debesh Kumar Das, Bhargab B Bhattacharya, DFT with Universal Test Set for All Missing Gate Faults in Reversible Circuits, 31, 2250128, Journal of Circuits, Systems and Computers, 2022
  • 20 Bappaditya Mondal, Chandan Bandyopadhyay, Anirban Bhattacharjee, Debashri Roy, Shalini Parekh, Hafizur Rahaman, An approach for detection and localization of missing gate faults in reversible circuit, 68, 3607-3627, IETE Journal of Research, 2022
  • 21 Soumajit Ghosh, M Miura-Mattausch, T Iizuka, Hafizur Rahaman, HJ Mattausch, Analytical Vth Modeling for Dual-Gate MOSFETs With Independent Gate Control, 69, 5456-5461, IEEE Transactions on Electron Devices, 2022
  • 22 Subhajit Chatterjee, Surajit Kumar Roy, Chandan Giri, Hafizur Rahaman, Frequency-scaled thermal-aware test scheduling for 3D ICs using machine learning based temperature estimation, 128, 105535, Microelectronics Journal, 2022
  • 23 Moumita Pramanik, Tuhin Kumar Barui, Hiranmay Samanta, Konika Das Bhattacharya, Chandan Kumar Chanda, Hiranmay Saha, “Design of a Digitally Controlled Two-Phase Interleaved DC-DC Boost Converter for DC Micro-grid”, International Conference on Intelligent Controller and Computing for Smart Power, 2022 ICICCSP 2022: July 21st -23rd, 2022 . Hyderabad, India, 2022
  • 24 S. Basu Pal, K. Das (Bhattacharya), D. Mukherjee, Relative Power Loss Analysis of Poly-Si PV Panels: An Overview in Eastern Indian Climatic Condition,, Volume 103, issue 3, June 2022, DOI:10.1007/s40031-022-00757-z, Journal of the Institute of Engineers, (India ):Series B, Springer , May 2022, 2022
  • 25 Hiranmay Samanta, Ankur Bhattacharjee, Abhijit Das, Konika Das Bhattacharya, Hiranmay Saha, “ Field-Validated Communication Systems for Smart Microgrid Energy Management in a Rural Microgrid Cluster”, 14, Energies, 2021
  • 26 Sudip Ghosh, Yuvam Bhateja, Joshua Roy Palathinkal, Hafizur Rahaman, Hardware Design with Real-Time Implementation for Security of Medical Images and EPMR, vol 41, pp. 867–891, Springer Circuits Systems and Signal Processing (CSSP), 2021
  • 27 Arnab Mukhopadhyay, Sayan Kanungo, Hafizur Rahaman, The effect of the stacking arrangement on the device behavior of bilayer MoS2 FETs, 20, 161-168, Journal of Computational Electronics, 2021
  • 28 Anirban Bhattacharjee, Chandan Bandyopadhyay, Philipp Niemann, Bappaditya Mondal, Rolf Drechsler, Hafizur Rahaman, An improved heuristic technique for nearest neighbor realization of quantum circuits in 2D architecture, 76, 40-54, Integration, 2021
  • 29 Subhajit Das, Sandip Bhattacharya, Debaprasad Das, Hafizur Rahaman, A survey on pristine and intercalation doped graphene nanoribbon interconnect for future VLSI circuits, 8, 247-260, AIMS Materials Science, 2021
  • 30 Abhishek Kar, Mitiko Miura-Mattausch, Mainak Sengupta, Dondee Navaroo, Hideyuki Kikuchihara, Takahiro Iizuka, Hafizur Rahaman, Hans Jürgen Mattausch, Simulation-based power-loss optimization of general-purpose high-voltage SiC MOSFET circuit under high-frequency operation, 9, 23786-23794, IEEE Access, 2021
  • 31 Anindita Chakraborty, Vivek Maurya, Sneha Prasad, Suryansh Gupta, Rajat Subhra Chakraborty, Hafizur Rahaman, Binary decision diagram?based synthesis technique for improved mapping of Boolean functions inside memristive crossbar?slices, 15, 112-124, IET Computers & Digital Techniques, 2021
  • 32 Anirban Bhattacharjee, Chandan Bandyopadhyay, Angshu Mukherjee, Robert Wille, Rolf Drechsler, Hafizur Rahaman, An ant colony based mapping of quantum circuits to nearest neighbor architectures, 78, 11-24, Integration, 2021
  • 33 Laxmidhar Biswal, Bappaditya Mondal, Hafizur Rahaman, Fault?tolerant quantum implementation of conventional decoder logic with enable input, 15, 415-423, IET Circuits, Devices & Systems, 2021
  • 34 Subhashree Basu, Malay Kule, Hafizur Rahaman, Implementation of Symmetric Functions Using Memristive Nanocrossbar Arrays and their Application in Cryptography, 30, 2150223, Journal of Circuits, Systems and Computers, 2021
  • 35 Anindita Chakraborty, Partha Sarathi Gupta, Ritika Singh, Rakesh Das, Hafizur Rahaman, BDD-based synthesis approach for in-memory logic realization utilizing Memristor Aided loGIC (MAGIC), 81, 254-267, Integration, 2021
  • 36 Anakhi Hazarika, Soumyajit Poddar, Hafizur Rahaman, High performance kernel architecture for convolutional neural network acceleration, 30, 2150266, Journal of Circuits, Systems and Computers, 2021
  • 37 Supriyo Srimani, Manas Parai, Kasturi Ghosh and Hafizur Rahaman, A Statistical Approach of Analog Circuit Fault Detection Utilizing Kolmogorov-Smirnov Test Method, vol.(40), pp. 2091–2113, Circuits, Systems & Signal Processing (CSSP) (Springer), 2021
  • 38 Abhishek Kar, M. Miura-Mattausch, M. Sengupta, H. Kikuchihara, D. Navarro, T. Iizuka, Hafizur Rahaman, and H. J. Mattausch, Simulation-Based Power-Loss Optimization of General Purpose High-Voltage SiC MOSFET Circuit under High-Frequency Operation, Vol. (9), pp: 23786 -23794, IEEE Access, 2021
  • 39 Monika Kumari, Niraj Kumar Singh, Manodipan Sahoo and Hafizur Rahman, Work function optimization for Enhancement of sensitivity of Dual Material(DM), Double gate(DG), Junctionless MOSFET based biosensor, 127:130, 1-86, Applied Physics A (Springer), 2021
  • 40 Pampa Howladar, Pranab Roy, and Hafizur Rahaman, MEDA Based Biochips: Detection, Prevention and Rectification Techniques for Cyberphysical Attacks, 1-12, IEEE/ACM Transactions on Computational Biology and Bioinformatics, 2021
  • 41 Pampa Howladar, Pranab Roy, and Hafizur Rahaman, Droplet Transportation in MEDA Based Biochips: An Enhanced Technique for Intelligent Cross Contamination Contamination Avoidance, Vol.29(7), pp.1451-1464, IEEE Transactions on Very Large Scale Integration Systems, 2021
  • 42 Monika Kumari, Niraj Kumar Singh,Manodipan Sahoo and Hafizur Rahaman, 3. Monika Kumari, Niraj Kumar 2-D Analytical Modeling and Simulation of Dual Material, Double Gate, Gate Stack Engineered, Junctionless MOSFET based Biosensor with Enhanced Sensitivity, 1-12, Silicon, 2021
  • 43 Malay Kule, Hafizur Rahaman, and Bhargab B.Bhattacharya, Function-Mapping on Defective Nano-Crossbars with Enhanced Reliability, Malay Kule, Hafizur Rahaman, and Bhargab B.Bhattacharya, "Function-Mapping on Defective Nano-Crossbars with Enhanced Reliability", Vol. 19, pp.555–564, Journal of Computational Electronics (Springer), 2020
  • 44 Manas Parai, Supriyo Srimani, Kasturi Ghosh, and Hafizur Rahaman, Analog Circuit Fault Detection by Impulse Response Based Signature Analysis, Vol. 39, pp.4281–4296, Circuits, Systems, and Signal Processing (Springer), 2020
  • 45 Supriyo Srimani, Manas Parai, Kasturi Ghosh and Hafizur Rahaman, A Statistical Approach of Analog Circuit Fault Detection Utilizing Kolmogorov-Smirnov Test Method, 39(4), 4281-8296, Circuits, Systems & Signal Processing (CSSP) (Springer), 2020
  • 46 Pampa Howladar, Pranab Roy, and Hafizur Rahaman, Chip Level Design in MEDA Based Biochips: Application of Daisy Chain Based Actuation, Vol. (26), pp. 2337–2351, Microsystems Technologies (Springer Nature), 2020
  • 47 Sayan Kanungo, Budhaditya Majumdar, Subhas Mukhopadhyay, Debapriya Som, Sanatan Chattopadhyay and Hafizur Rahaman, Investigation on the Effects of Substrate, Back-Gate Bias and Front-Gate Engineering on the Performance of DMTFET based Biosensors, Vol. 20(18), pp.10405-10414, IEEE Sensors Journal, 2020
  • 48 S. Basu Pal, K. Das (Bhattacharya), D. Mukherjee, D. Paul, A Simple and low cost Measurement Technology for SOLAR PV Modules, SADHANA,45,279, Springer publications, 2020
  • 49 Hiranmay Samanta, Ankur Bhattacharjee, Moumita Pramanik, Abhijit Das, Konika Das Bhattacharya, Hiranmay Saha, Internet of things based Smart Energy Management in a Vanadium Redox Flow Battery Storage Integrated Bio-Solar Microgrid, Energy Storage, Elsevier, 2020
  • 50 Pampa Howladar,Pranab Roy,Hafizur Rahaman, Design Automation and Testing of MEDA Based Digital Microfluidic Biochips: A Brief Survey, 66, IETE Journal of Research ,Taylor and Francis., 2020
  • 51 Supriyo Srimani, Manas Parai, Kasturi Ghosh and Hafizur Rahaman, A Statistical Approach of Analog Circuit Fault Detection Utilizing Kolmogorov-Smirnov Test Method, Circuits, Systems & Signal Processing (Accepted, in press), 2020
  • 52 Manas Parai, Supriyo Srimani, Kasturi Ghosh, Hafizur Rahaman, Analog Circuit Fault Detection by Impulse Response-Based Signature Analysis, 39, 4281–4296, Circuits, Systems, and Signal Processing, 2020
  • 53 A. K. Panigrahy, T. Ghosh, S. R. K. Vanjari, S. G. Singh, Surface density gradient engineering precedes enhanced diffusion; drives CMOS in-line process flow compatible Cu–Cu thermocompression bonding at 75° C, 19, pp. 791-795, IEEE Transactions on Device and Materials Reliability, 2019
  • 54 L. Banerjee, A Sengupta, and H. Rahaman, Carrier transport and thermoelectric properties of differently shaped Germanene (Ge) and Silicene (Si) nanoribbon interconnects, 66 (1), 664-669, IEEE Transactions on Electron Devices, 2019
  • 55 Bhattacharya, R. and Roy, P. and Rahaman, H., A complete routing simulator for digital microfluidic biochip, 10, 70-85, International Journal of Information System Modeling and Design, 2019
  • 56 Anindita Chakraborty,Vivek Saurabh,Partha Sarathi Gupta,Rituraj Kumar,Saikat Majumdar,Smriti Das and Hafizur Rahaman, In-Memory designing of Delay and Toggle flip-flops utilizing Memristor Aided loGIC (MAGIC), Vol (66), pp. 24-34, Integration, the VLSI Journal, (Elsevier), 2019
  • 57 Sabir Ali Mondal, Pradip Mondal and Hafizur Rahaman, 1. Sabir Ali Mondal, Pradip MondFast Locking, Startup Circuit Free, Low Area, 32-phase Analog DLL, Vol. (66), pp. 60-66, Integration, the VLSI Journal, (Elsevier), 2019
  • 58 Arnab Mukherjee, Tapas K. Maiti, H.Rahaman, 1. rnab Prevention of Highly Power-Efficient Circuits due to Short-Channel Effects in MOSFETs, Vol.E102-C (6), pp.487-494, IEICE Transactions on Electronics, 2019
  • 59 Kasturi Ghosh, Niladri S. Mahapatra, Hafizur Rahaman and Partha Bhattacharyya, Prediction of Adsorption Probability of Oxidizing and Reducing Species on 2D Hybrid Junction of rGO-ZnO from First Principle Analysis, vol. 18(1), pp. 119-125, IEEE Transactions on Nanotechnology 2019,, 2019
  • 60 Pampa Howladar, Pranab Roy, and Hafizur Rahaman, A High-Performance Homogeneous Droplet Routing Technique for MEDA Based Biochips, 15 (4), pp. 1-37, ACM Journal on Emerging Technologies in Computing Systems, 2019
  • 61 Sharma, B. and Mukhopadhyay, A. and Banerjee, L. and Sengupta, A. and Rahaman, H. and Sarkar, C.K., Ab initio study of mono-layer 2-D insulators (X-(OH)2 and h-BN) and their use in MTJ memory device, 25, 1909-1917, Microsystem Technologies, 2019
  • 62 Sinharay, A. and Das, S. and Roy, P. and Rahaman, H., An Angular Steiner Tree Based Global Routing Algorithm for Graphene Nanoribbon Circuit, 892, 670-681, Communications in Computer and Information Science, 2019
  • 63 Mondal, B. and Bandyopadhyay, C. and Bhattacharjee, A. and Rahaman, H., An Online Testing Scheme for Detection of Gate Faults in ESOP-Based Reversible Circuit, Journal of The Institution of Engineers (India): Series B, 2019
  • 64 Bardhan, S. and Sahoo, M. and Rahaman, H., Boltzmann transport equation-based semi-classical drain current model for bilayer GFET including scattering effects, 13, 421-427, IET Circuits, Devices and Systems, 2019
  • 65 Mondal, J. and Mondal, B. and Kole, D.K. and Rahaman, H. and Das, D.K., Boolean Difference Technique for Detecting All Missing Gate and Stuck-at Faults in Reversible Circuits, Journal of Circuits, Systems and Computers, 2019
  • 66 Banerjee, L. and Sengupta, A. and Rahaman, H., Carrier Transport and Thermoelectric Properties of Differently Shaped Germanene (Ge) and Silicene (Si) Nanoribbon Interconnects, 66, 664-669, IEEE Transactions on Electron Devices, 2019
  • 67 Das, S. and Bhattacharya, S. and Das, D. and Rahaman, H., Comparative stability analysis of pristine and asf5 intercalation doped top contact graphene nano ribbon interconnects, 2019 2nd International Symposium on Devices, Circuits and Systems, ISDCS 2019 - Proceedings, 2019
  • 68 Bandyopadhyay, C. and Das, R. and Chattopadhyay, A. and Rahaman, H., Design and synthesis of improved reversible circuits using AIG- and MIG-based graph data structures, 13, 38-48, IET Computers and Digital Techniques, 2019
  • 69 Bardhan, S. and Sahoo, M. and Rahaman, H., Empirical Drain Current Model of Graphene Field-Effect Transistor for Application as a Circuit Simulation Tool, IETE Journal of Research, 2019
  • 70 Mondal, S.A. and Mandal, P. and Rahaman, H., Fast locking, startup-circuit free, low area, 32-phase analog DLL, 66, 60-66, Integration, 2019
  • 71 L. Banerjee, A Sengupta, and Hafizur Rahaman, Carrier transport and thermoelectric properties of differently shaped Germanene (Ge) and Silicene (Si) nanoribbon interconnects, Vol.66 (1), pp.664-669, IEEE Transactions on Electron Devices, 2019
  • 72 Subhajit Das, Sandip Bhattacharya, Debaprasad Das, and Hafizur Rahaman, Modeling and Analysis of Electro-thermal Impact of Crosstalk Induced Gate Oxide Reliability in Pristine and Intercalation Doped MLGNR Interconnects, Vol. 19(3), pp. 543-550, IEEE Transactions on Device and Materials Reliability, 2019
  • 73 Laxmidhar Biswal, Debjyoti Bhattacharjee, Anupam Chattopadhyay and Hafizur Rahaman, Techniques for fault-tolerant decomposition of multicontrolled Toffoli gates, Vol. 100, 062326, 062326, Physical Review A, 2019
  • 74 T. Sarkar, A. Bhattacharya, H. Samanta, K.Das(Bhattacharya), H.Saha,, Optimal design and implementation of solar PV-wind-biogas-VRFB storage integrated smart hybrid microgrid for ensuring zero loss of power supply probability, 191, 102-118, Energy Conversion and Management, Elsevier, 2019
  • 75 Sudipta Basu Pal, Abhijit Das,Konika Das Bhattacharya, Dipankar Mukherjee,, Design of a low-cost measuring and plotting device for PV modules, Measurement and Control, SAGE Publications, 2019
  • 76 SudiptaBasu Pal, Rahul Kumar,Konika Das Bhattacharya, Dipankar Mukherjee,, Supercapacitor Based PV Measurement Technique---------- Quality Assessment with Poly-Si PV Modules at IIEST, Kolkata, 10, 9, International Journal of Metrology and Quality Engineering, EDP Sciences Publications., 2019
  • 77 Chakraborty, A. and Saurabh, V. and Gupta, P.S. and Kumar, R. and Majumdar, S. and Das, S. and Rahaman, H., In-memory designing of Delay and Toggle flip-flops utilizing Memristor Aided loGIC (MAGIC), 66, 24-34, Integration, 2019
  • 78 Ghosh, K. and Mahapatra, N.S. and Rahaman, H. and Bhattacharyya, P., Prediction of adsorption probability of oxidizing and reducing species on 2-D hybrid junction of rGO-ZnO from first principle analysis, 18, 119-125, IEEE Transactions on Nanotechnology, 2019
  • 79 Mukhopadhyay, A. and Maiti, T.K. and Bhattacharya, S. and Iizuka, T. and Kikuchihara, H. and Miura-Mattausch, M. and Rahaman, H. and Yoshitomi, S. and Navarro, D. and Mattausch, H.J., Prevention of highly power-efficient circuits due to short-channel effects in MOSFETs, E102C, 487-494, IEICE Transactions on Electronics, 2019
  • 80 Bhattacharjee, A. and Bandyopadhyay, C. and Biswal, L. and Rahaman, H., A Heuristic Qubit Placement Strategy for Nearest Neighbor Realization in 2D Architecture, 892, 593-605, Communications in Computer and Information Science, 2019
  • 81 Pampa Howladar,Pranab Roy,Subhajit Chatterjee,Hafizur Rahaman, Chip Level Design in MEDA Based Biochips: Application of Daisy Chain Based Actuation, 26, 2337 -2351, Springer Microsystem Technologies, 2019
  • 82 Bardhan, S. and Sahoo, M. and Rahaman, H., A Surface Potential-Based Model for Dual Gate Bilayer Graphene Field Effect Transistor Including the Capacitive Effects, Journal of Circuits, Systems and Computers, 2019
  • 83 Pampa Howladar,Pranab Roy,Hafizur Rahaman, A High-Performance Droplet Routing Technique for MEDA Based Biochips, 15, 70-85, ACM Journal on Emerging Technology in Computing, 2019
  • 84 Asisa Kumar Panigrahi ,Tamal Ghosh ,C. Hemanth Kumar, Shiv Govind Singh, Siva Rama Krishna Vanjari, Direct, CMOS In?Line Process Flow Compatible, Sub 100 °C Cu–Cu Thermocompression Bonding Using Stress Engineering, 14, 328-335, Electronic Materials Letters, 2019
  • 85 Kasturi Ghosh, Hafizur Rahaman, Partha Bhattacharyya, Prediction and Implementation of Graphene and Other Two-Dimensional Material Based Superconductors: A Review, 30, 1-9, IEEE Transactions on Applied Superconductivity, 2019
  • 86 Kasturi Ghosh, Niladri S Mahapatra, Hafizur Rahaman, Partha Bhattacharyya, Prediction of adsorption probability of oxidizing and reducing species on 2-D hybrid junction of rGO-ZnO from first principle analysis, 18, 119-125, IEEE Transactions on Nanotechnology, 2018
  • 87 Bhattacharya, S. and Das, D. and Rahaman, H., Analysis of Simultaneous Switching Noise and IR-Drop in Side-Contact Multilayer Graphene Nanoribbon Power Distribution Network, 27, Journal of Circuits, Systems and Computers, 2018
  • 88 Bhattacharya, S. and Das, D. and Rahaman, H., Analysis of delay fault in GNR power interconnects, 31, International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, 2018
  • 89 Kule, M. and Rahaman, H. and Bhattacharya, B.B., Maximal Defect-Free Component in Nanoscale Crossbar Circuits Amidst Stuck-Open and Stuck-Closed Faults, Journal of Circuits, Systems and Computers, 2018
  • 90 Anindita Chakraborty,Vivek Saurabh,Partha Sarathi Gupta,Rituraj Kumar,Saikat Majumdar,Smriti Das and Hafizur Rahaman, In-Memory designing of Delay and Toggle flip-flops utilizing Memristor Aided loGIC (MAGIC), Integration, the VLSI Journal, (Elsevier), 2018
  • 91 Sandip Bhattacharya,Subhajit Das, Arnab Mukhopadhyay, Debaprasad Das, and Hafizur Rahaman, Analysis of Temperature Dependent Delay Optimization Model for GNR Interconnect Using Wire Sizing Method, Journal of Computational Electronics (Springer), 2018
  • 92 Subhajit Das, Debaprasad Das, and Hafizur Rahaman, Electro-thermal RF Modeling and Performance Analysis of Graphene Nanoribbon Interconnects, Journal of Computational Electronics (Springer), 2018
  • 93 Laxmidhar Biswal,Rakesh Das, Chandan Bandyopadhyay,Anupam Chattopadhyay and Hafizur Rahaman, A Template-based Technique for Efficient Clifford+T-based Quantum Circuit Implementation, 81 (2018), 58-68, Microelectronics Journal (Elsevier), 2018
  • 94 Sudip Poddar, Robert Wille, Hafizur Rahaman and Bhargab B. Bhattacharya, Error-Oblivious Sample Preparation with Digital Microfluidic Lab-on-Chip, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018
  • 95 Rupam Bhattacharya, Pranab Roy and Hafizur Rahaman, Homogeneous Droplet Routing In DMFB: An Enhanced Technique For High Performance Bioassay Implementation, Vol. 60, pp.74-91, Integration, the VLSI Journal, (Elsevier), 2018
  • 96 Subhajit Das , Sudip Ghosh, Nachiketa Das , Santi P. Maity , Hafizur Rahaman, Reshmi Maity and Niladri Maity, Correction to: VLSI-Based Pipeline Architecture for Reversible Image Watermarking by Difference Expansion with High-Level Synthesis Approach, Volume 37, Issue 4, pp 1575–1593, Springer Circuits Systems and Signal Processing (CSSP), 2018
  • 97 Chandan Bandyopadhyay, Rakesh Das, Robert Wille, Rolf Drechsler and Hafizur Rahaman, Synthesis of Circuits based on All-Optical Mach-Zehnder Interferometers Using Binary Decision Diagrams, VOL. 71, pp. 19-29, Microelectronics Journal(Elsevier), 2018
  • 98 Laxmidhar Biswal,Rakesh Das, Chandan Bandyopadhyay,Anupam Chattopadhyay and Hafizur Rahaman, A Template-based Technique for Efficient Clifford+T-based Quantum Circuit Implementation, Vol. 81, pp.58-68, Microelectronics Journal (Elsevier), 2018
  • 99 Subhajit Das, Debaprasad Das, and Hafizur Rahaman, Electro-thermal RF Modeling and Performance Analysis of Graphene Nanoribbon Interconnects, Vol. 17, pp. 1695–1708, Journal of Computational Electronics (Springer), 2018
  • 100 Sandip Bhattacharya, Subhajit Das, Arnab Mukhopadhyay, Debaprasad Das and Hafizur Rahaman, Analysis of a temperature-dependent delay optimization model for GNR interconnects using a wire sizing method, Vol. 17(4), pp 1536–1548, Journal of Computational Electronics (Elsevier), 2018
  • 101 Sudip Poddar, Robert Wille, Hafizur Rahaman and Bhargab B. Bhattacharya, Error-Oblivious Sample Preparation with Digital Microfluidic Lab-on-Chip, Vol. 38(10), pp.1886 - 1899, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018
  • 102 Tathagata Sarkar, AnkurBhattacharjee, Kanak Mukhopadhyay, Konika Das Bhattacharya, HiranmaySaha,, Energy Non Availability in Distribution Grid with Heavy Penetration ofSolar Power: Assessment and Mitigation through Solar Smoother, 11, 709, Sustainable Energy -A section of Energies (ISSN 1996-1073).Manuscript ID: energies-267859, 2018
  • 103 J.Jana, H.Samanta, K.Das(Bhattacharya), H.Saha, Design and development of high efficiency five stage battery charge controller with improved MPPT performance for Solar PV Systems, 8, International Journal of Renewable Energy Research-IJRER, 2018
  • 104 Biswal, L. and Das, R. and Bandyopadhyay, C. and Chattopadhyay, A. and Rahaman, H., A template-based technique for efficient Clifford+T-based quantum circuit implementation, 81, 58-68, Microelectronics Journal, 2018
  • 105 Bhattacharya, S. and Das, S. and Mukhopadhyay, A. and Das, D. and Rahaman, H., Analysis of a temperature-dependent delay optimization model for GNR interconnects using a wire sizing method, 17, 1536-1548, Journal of Computational Electronics, 2018
  • 106 Howladar, P. and Roy, P. and Rahaman, H., Design Automation and Testing of MEDA-Based Digital Microfluidic Biochips: A Brief Survey, IETE Journal of Research, 2018
  • 107 Das, S. and Das, D. and Rahaman, H., Electro-thermal RF modeling and performance analysis of graphene nanoribbon interconnects, 17, 1695-1708, Journal of Computational Electronics, 2018
  • 108 Poddar, S. and Wille, R. and Rahaman, H. and Bhattacharya, B.B., Error-Oblivious Sample Preparation with Digital Microfluidic Lab-on-Chip, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018
  • 109 Hazra, S. and Ghosh, S. and De, S. and Rahaman, H., FPGA implementation of semi-fragile reversible watermarking by histogram bin shifting in real time, 14, 193-221, Journal of Real-Time Image Processing, 2018
  • 110 Bhattacharya, R. and Roy, P. and Rahaman, H., Homogeneous droplet routing in DMFB: An enhanced technique for high performance bioassay implementation, 60, 74-91, Integration, the VLSI Journal, 2018
  • 111 Bandyopadhyay, C. and Parekh, S. and Rahaman, H., Improved circuit synthesis approach for exclusive-sum-of-product-based reversible circuits, 12, 167-175, IET Computers and Digital Techniques, 2018
  • 112 Maity, I. and Ghosh, K. and Rahaman, H. and Bhattacharyya, P., Spin Dependent Electronic Transport in Edge Oxidized Zigzag Graphene Nanoribbon, 5, 9892-9898, Materials Today: Proceedings, 2018
  • 113 Bandyopadhyay, C. and Das, R. and Wille, R. and Drechsler, R. and Rahaman, H., Synthesis of circuits based on all-optical Mach-Zehnder Interferometers using Binary Decision Diagrams, 71, 19-29, Microelectronics Journal, 2018
  • 114 Rupam Bhattacharya, Pranab Roy,Hafizur Rahaman, Homogeneous Droplet Routing in DMFB: An Enhanced Technique for High Performance Bioassay Implementation, 60, 74 -91, Elsevier,VLSI Integration, 2018
  • 115 I Maity, K Ghosh, H Rahaman, Partha Bhattacharyya, Selectivity Tuning of Graphene Oxide Based Reliable Gas Sensor Devices by Tailoring the Oxygen Functional Groups: A DFT Study Based Approach, 17, 738-745, IEEE Transactions on Device and Materials Reliability, 2017
  • 116 Supriyo Srimani, Manas Kumar Parai, Kasturi Ghosh, Hafizur Rahaman, Parametric fault detection of analog circuits based on Bhattacharyya measure, 93, 477-488, Analog Integrated Circuits and Signal Processing, 2017
  • 117 K Ghosh, H Rahaman, Partha Bhattacharyya, Potentiality of Density-Functional Theory in Analyzing the Devices Containing Graphene-Crystalline Solid Interfaces: A Review, 64, 4738-4745, IEEE Transactions on Electron Devices, 2017
  • 118 I Maity, K Ghosh, H Rahaman, P Bhattacharyya, Tuning of electronic properties of edge oxidized armchair graphene nanoribbon by the variation of oxygen amounts and positions, 28, 9039-9047, Journal of Materials Science: Materials in Electronics, 2017
  • 119 Panigrahi, Asisa Kumar & Cheemalamarri, Hemanth Kumar, Sathish, Bonam & Ghosh, Tamal & Vanjari, Siva & Singh, Shiv., Optimized ultra-thin manganin alloy passivated fine-pitch damascene compatible bump-less Cu–Cu bonding at sub 200 °C for three-dimensional Integration applications February 2017 : Japanese Journal of Applied Physics 57(2S1):02BC04 DOI:10.7567/JJAP.57.02BC04, 57, 02BC04. 10.7567/JJAP.57.02BC04., Japanese Journal of Applied Physics, 2017
  • 120 Sinha, K. and Chattopadhyay, S. and Gupta, P.S. and Rahaman, H., A technique to incorporate both tensile and compressive channel stress in Ge FinFET architecture, 16, 620-630, Journal of Computational Electronics, 2017
  • 121 Sahoo, M. and Rahaman, H., Analysis of Crosstalk-Induced Effects in Multilayer Graphene Nanoribbon Interconnects, 26, Journal of Circuits, Systems and Computers, 2017
  • 122 Das, D. and Rahaman, H., Carbon nanotube and graphene nanoribbon interconnects, 1-168, Carbon Nanotube and Graphene Nanoribbon Interconnects, 2017
  • 123 Kanungo, S. and Mondal, S.A. and Chattopadhyay, S. and Rahaman, H., Design and Investigation on Bioinverter and Bioring-Oscillator for Dielectrically Modulated Biosensing Applications, 16, 974-981, IEEE Transactions on Nanotechnology, 2017
  • 124 Adak, S. and Swain, S.K. and Pardeshi, H. and Rahaman, H. and Sarkar, C.K., Effect of barrier thickness on linearity of underlap AlInN/GaN DG-MOSHEMTs, 12, Nano, 2017
  • 125 Tiwari, S. and Dolai, S. and Rahaman, H. and Gupta, P.S., Effect of temperature and phonon scattering on the drain current of a MOSFET using SL-MoS as its channel material, 463, 108-117, Journal of Non-Crystalline Solids, 2017
  • 126 Supriyo Srimani, Kasturi Ghosh, and Hafizur Rahaman, Parametric Fault Detection of Analog Circuits based on Bhattacharyya Measure, 93(3), 477–488, Analog Integrated Circuits and Signal Processing (Springer), 2017
  • 127 Sayan Kanunga, Sabir Ali Mondal, Sanatan Chottopadhyaya and Hafizur Rahaman, Design and Investigation on Bio-Inverter and Bio-Ring-oscillator for Dielectrically Modulated Bio-sensing Applications, 16(6), 974 – 981, IEEE Transactions on Nanotechnology, 2017
  • 128 Sayan Kanungo, Sanatan Chattopadhyay, Kunal Sinha, Partha Sarathi Gupta, and Hafizur Rahaman, A Device Simulation-Based Investigation on Dielectrically Modulated Fringing Field-Effect Transistor for Biosensing Applications, 17(5), 1399-1406, IEEE Sensors Journal, 2017
  • 129 Sambaran Hazra, Sudip Ghosh, Sayandip De and Hafizur Rahaman, FPGA implementation of semi-fragile reversible watermarking by histogram bin shifting in real time, vol 14, pp. 193-221, Springer journal of Real-Time Image Processing (RTIP), 2017
  • 130 Supriyo Srimani, Kasturi Ghosh, and Hafizur Rahaman, Parametric Fault Detection of Analog Circuits based on Bhattacharyya Measure, Vol.93(3), pp 477–488, Analog Integrated Circuits and Signal Processing (Springer), 2017
  • 131 Kunal Sinha, Sanatan Chattopadhyay, Partha Sarathi Gupta and Hafizur Rahaman, A Technique to incorporate both tensile and compressive channel stress in Ge FinFET architecture, Volume 16 (3), pp 620–630, Journal of Computational Electronics (Springer), 2017
  • 132 Kasturi Ghosh, Hafizur Rahaman and Partha Bhattacharyya, Potentiality of Density-Functional Theory in Analyzing the Devices Containing Graphene - Crystalline Solid Interfaces, Vol.64 (11), pp.4738-4745, IEEE Transactions on Electron Devices, 2017
  • 133 Sayan Kanungo, Sanatan Chattopadhyay, Kunal Sinha, Partha Sarathi Gupta, and Hafizur Rahaman, A Device Simulation-Based Investigation on Dielectrically Modulated Fringing Field-Effect Transistor for Biosensing Applications, Vol.17(5), pp.1399-1406, IEEE Sensors Journal, 2017
  • 134 Sayan Kanunga, Sabir Ali Mondal, Sanatan Chottopadhyaya and Hafizur Rahaman, Design and Investigation on Bio-Inverter and Bio-Ring-oscillator for Dielectrically Modulated Bio-sensing Applications, Vol. 16(6), pp. 974 – 981, IEEE Transactions on Nanotechnology, 2017
  • 135 J.Jana, K.Das(Bhattacharya), H.Saha, A Review of Inverter Topologies for Single-Phase Grid-Connected Photovoltaic Systems, 72, 1256–127, Renewable & Sustainable Energy Reviews, 2017
  • 136 S. BasuPal, T. Belel, K.Das(Bhattacharya), D.Mukherjee, Estimation of Curve tracing Time in Supercapacitor based PV Characterization, 385-391, The Institution of Engineers (India): Series B,, 2017
  • 137 S. Basu Pal, K. Das (Bhattacharya), D. Mukherjee, D. Paul, Electrical Characterization of PV Modules employing Supercapacitors – A Scalable Method for Field Metrology, 1, 15, Renewable Energy & Power Quality Journal, 2017
  • 138 Kanungo, S. and Chattopadhyay, S. and Sinha, K. and Gupta, P.S. and Rahaman, H., A Device Simulation-Based Investigation on Dielectrically Modulated Fringing Field-Effect Transistor for Biosensing Applications, 17, 1399-1406, IEEE Sensors Journal, 2017
  • 139 Tiwari, S. and Dolai, S. and Rahaman, H. and Gupta, P.S., Effect of temperature and phonon scattering on the drain current of a MOSFET using SL-MoS as its channel material, 111, 912-921, Superlattices and Microstructures, 2017
  • 140 Bhattacharya, S. and Das, D. and Rahaman, H., Modeling and Performance Analysis of Graphene Nanoribbon Interconnects, 40, 325-329, National Academy Science Letters, 2017
  • 141 Srimani, S. and Parai, M.K. and Ghosh, K. and Rahaman, H., Parametric fault detection of analog circuits based on Bhattacharyya measure, 93, 477-488, Analog Integrated Circuits and Signal Processing, 2017
  • 142 Ghosh, K. and Rahaman, H. and Bhattacharyya, P., Potentiality of Density-Functional Theory in Analyzing the Devices Containing Graphene-Crystalline Solid Interfaces: A Review, 64, 4738-4745, IEEE Transactions on Electron Devices, 2017
  • 143 Maity, I. and Ghosh, K. and Rahaman, H. and Bhattacharyya, P., Selectivity Tuning of Graphene Oxide Based Reliable Gas Sensor Devices by Tailoring the Oxygen Functional Groups: A DFT Study Based Approach, 17, 738-745, IEEE Transactions on Device and Materials Reliability, 2017
  • 144 Bhattacharya, S. and Das, D. and Rahaman, H., Stability Analysis in Top-Contact and Side-Contact Graphene Nanoribbon Interconnects, 63, 588-596, IETE Journal of Research, 2017
  • 145 Maity, I. and Ghosh, K. and Rahaman, H. and Bhattacharyya, P., Tuning of electronic properties of edge oxidized armchair graphene nanoribbon by the variation of oxygen amounts and positions, 28, 9039-9047, Journal of Materials Science: Materials in Electronics, 2017
  • 146 Panigrahi, A. K., Ghosh, T., Vanjari, S. R. K., & Singh, S. G., Demonstration of Sub 150° C Cu-Cu thermocompression bonding for 3D IC applications, utilizing an ultra-thin layer of Manganin alloy as an effective surface passivation layer, 194, 86-89, Materials Letters, 2017
  • 147 A. K. Panigrahi, T. Ghosh, S. R. K. Vanjari ,S. G. Singh, Oxidation Resistive, CMOS Compatible Copper-Based Alloy Ultrathin Films as a Superior Passivation Mechanism for Achieving 150 °C Cu–Cu Wafer on Wafer Thermocompression Bonding,, 64, 1239-1245, IEEE Transactions on Electron Devices, 2017
  • 148 Manodipan Sahoo and Hafizur Rahaman, Modeling and Analysis of Crosstalk Induced Overshoot/Undershoot Effects in Multilayer Graphene Nanoribbon Interconnects and Its Impact on Gate Oxide Reliability, 63, 231-238, Microelectronics Reliability(Elsevier), 2016
  • 149 Sayan Kanungo, Sanatan Chattopadhyay, Partha Sarathi Gupta, Kunal Sinha and Hafizur Rahaman, Study and Analysis of the Effects of SiGe Source and Pocket Doped Channel on Sensing Performance of Dielectrically-Modulated Tunnel FET based Bio-Sensors, 63(6), 2589 - 2596, IEEE Transactions on Electron Devices, 2016
  • 150 Pranab Roy, Swati Saha, and Hafizur Rahaman, Novel Wire Planning Schemes for Pin Minimization in Digital Microfluidic Biochips, 25(11), 2245-3358, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016
  • 151 Sandip Bhattacharya, Debaprasad Das and Hafizur Rahaman, Reduced Thickness Interconnect Model using GNR to Avoid Crosstalk Effects, 15(2), 367–380, Journal of Computational Electronics (JCEL), 2016
  • 152 Soumyajit Poddar, Prasun Ghosal, and Hafizur Rahaman, Design of a High Performance CDMA Based Broadcast Free Photonic Multi Core Netweork on Chip, 15(1), 1-30, ACM Transactions on Embedded Computing Systems, 2016
  • 153 Sayan Kanungo, Sanatan Chattopadhyay, Partha Sarathi Gupta and Hafizur Rahaman, Comparative Performance Analysis of the Dielectrically Modulated Full Gate and Short Gate Tunnel FET based Bio-Sensors, 62(3), 994 - 1001, IEEE Transactions on Electron Devices (TED 2015), 2016
  • 154 Roy, P. and Saha, S. and Rahaman, H., Novel Wire Planning Schemes for Pin Minimization in Digital Microfluidic Biochips, 24, 3345-3358, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016
  • 155 Panigrahi, Asisa Kumar, Satish Bonam, Tamal Ghosh, Shiv Govind Singh, and Siva Rama Krishna Vanjari, Ultra-thin Ti passivation mediated breakthrough in high quality Cu-Cu bonding at low temperature and pressure, Materials Letters, 2016
  • 156 Partha Sarathi Gupta, Hafizur Rahaman, Kunal Sinha, and Sanatan Chattopadhyay, An Optoelectronic Band-to-band Tunnel Transistor for Near-infrared Sensing Applications: Device Physics, Modeling, and Simulation, 120, 084510, Journal of Applied Physics, 2016
  • 157 Ananda Sankar Chakraborty, Sabir Ali Mondal and Hafizur Rahaman, Low Noise and Low Power Switched Biased CSA with Clocked Reset and Minimal PVT Variation for APD Based Positron Emission Tomography, Vol. 88, pp.495–504, Journal of Analog Integrated Circuits and Signal Processing (Springer), 2016
  • 158 L. Banerjee, A Mukhopadhyay, A Sengupta, and H. Rahaman, Performance analysis of uniaxially strained monolayer black phosphorus and blue phosphorus n-MOSFET and p-MOSFET, Vol. 15 (3), pp.919-930, Journal of Computational Electronics (Springer), 2016
  • 159 Soumyajit Poddar, Prasun Ghosal, and Hafizur Rahaman, Design of a High Performance CDMA Based Broadcast Free Photonic Multi Core Network on Chip, Vol. 15(1), Article No.(2), ACM Transactions on Embedded Computing Systems, 2016
  • 160 Pranab Roy, Swati Saha, and Hafizur Rahaman, Novel Wire Planning Schemes for Pin Minimization in Digital Microfluidic Biochips, Vol.25(11), pp-3345 - 3358, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016
  • 161 Arighna Deb, Debesh K. Das, Hafizur Rahaman, Robert Wille, Rolf Drechsler, Bhargab B. Bhattacharya, Reversible Synthesis of Symmetric Functions with a Simple Regular Structure and Easy Testability, Volume 12(4), Article No.:34, ACM Journal on Emerging Technologies in Computing Systems (JETC), 2016
  • 162 Sayan Kanungo, Sanatan Chattopadhyay, Partha Sarathi Gupta, Kunal Sinha and Hafizur Rahaman, Study and Analysis of the Effects of SiGe Source and Pocket Doped Channel on Sensing Performance of Dielectrically-Modulated Tunnel FET based Bio-Sensors, Volume 63(6), pp.2589 - 2596, IEEE Transactions on Electron Devices, 2016
  • 163 Partha Sarathi Gupta, Hafizur Rahaman, Kunal Sinha, and Sanatan Chattopadhyay, An Optoelectronic Band-to-band Tunnel Transistor for Near-infrared Sensing Applications: Device Physics, Modeling, and Simulation, Vol. 120, 084510, Journal of Applied Physics (AIP Publishing), 2016
  • 164 K.Das(Bhattacharya), P.Syam, A. Baral, P. Sarkar, An ant colony system based control of shunt capacitor banks for bulk electricity consumers, 43, 520–534, Applied Soft Computing, Elsevier, 2016
  • 165 Gupta, P.S. and Rahaman, H. and Sinha, K. and Chattopadhyay, S., An optoelectronic band-to-band tunnel transistor for near-infrared sensing applications: Device physics, modeling, and simulation, 120, Journal of Applied Physics, 2016
  • 166 Bhattacharya, S. and Das, D. and Rahaman, H., Analysis of temperature dependent power supply voltage drop in graphene nanoribbon and Cu based power interconnects, 3, 1493-1506, AIMS Materials Science, 2016
  • 167 Sharma, B. and Mukhopadhyay, A. and Sengupta, A. and Rahaman, H. and Sarkar, C.K., Analysis of tunneling currents in multilayer black phosphorous and MoS non-volatile flash memory cells, 15, 129-137, Journal of Computational Electronics, 2016
  • 168 Poddar, S. and Ghosal, P. and Rahaman, H., Design of a high-performance cdma-based broadcast-free photonic multi-core network on chip, 15, ACM Transactions on Embedded Computing Systems, 2016
  • 169 Adak, S. and Swain, S.K. and Rahaman, H. and Sarkar, C.K., Impact of gate engineering in enhancement mode n++GaN/InAlN/AlN/GaN HEMTs, 100, 306-314, Superlattices and Microstructures, 2016
  • 170 Adak, S. and Swain, S.K. and Dutta, A. and Rahaman, H. and Sarkar, C.K., Influence of channel length and High-K oxide thickness on Subthreshold DC performance of graded channel and gate stack DG-MOSFETs, 11, Nano, 2016
  • 171 Das, D. and Rahaman, H., Investigating the Applicability of Graphene Nanoribbon as Signal and Power Interconnects for Nanometer Designs, 25, Journal of Circuits, Systems and Computers, 2016
  • 172 Sinha, K. and Gupta, P.S. and Chattopadhyay, S. and Rahaman, H., Investigating the performance of SiGe embedded dual source p-FinFET architecture, 98, 37-45, Superlattices and Microstructures, 2016
  • 173 Chakraborty, A.S. and Mondal, S.A. and Rahaman, H., Low noise and low power switched biased CSA with clocked reset and minimal PVT variation for APD based positron emission tomography, 88, 495-504, Analog Integrated Circuits and Signal Processing, 2016
  • 174 Sahoo, M. and Rahaman, H., Modeling and analysis of crosstalk induced overshoot/undershoot effects in multilayer graphene nanoribbon interconnects and its impact on gate oxide reliability, 63, 231-238, Microelectronics Reliability, 2016
  • 175 Roy, S.K. and Giri, C. and Rahaman, H., Optimization of Test Wrapper for TSV Based 3D SOCs, 32, 511-529, Journal of Electronic Testing: Theory and Applications (JETTA), 2016
  • 176 Pranab Roy,Swati Saha,Hafizur Rahaman,Parthasarathi Dasgupta, Novel Wire Planning Schemes for Pin Minimization in Digital Microfluidic Biochips, 24, 3245 - 3358, IEEE Transactions on Very Large Scale Integration Systems, 2016
  • 177 Banerjee, L. and Mukhopadhyay, A. and Sengupta, A. and Rahaman, H., Performance analysis of uniaxially strained monolayer black phosphorus and blue phosphorus n-MOSFET and p-MOSFET, 15, 919-930, Journal of Computational Electronics, 2016
  • 178 Bhattacharya, S. and Das, D. and Rahaman, H., Reduced thickness interconnect model using GNR to avoid crosstalk effects, 15, 367-380, Journal of Computational Electronics, 2016
  • 179 Deb, A. and Das, D.K. and Rahaman, H. and Wille, R. and Drechsler, R. and Bhattacharya, B.B., Reversible synthesis of symmetric functions with a simple regular structure and easy testability, 12, ACM Journal on Emerging Technologies in Computing Systems, 2016
  • 180 Kanungo, S. and Chattopadhyay, S. and Gupta, P.S. and Sinha, K. and Rahaman, H., Study and Analysis of the Effects of SiGe Source and Pocket-Doped Channel on Sensing Performance of Dielectrically Modulated Tunnel FET-Based Biosensors, 63, 2589-2596, IEEE Transactions on Electron Devices, 2016
  • 181 Bhattacharya, S. and Das, D. and Rahaman, H., Temperature dependent IR-drop analysis in graphene nanoribbon based power interconnect, 8, Journal of Nano- and Electronic Physics, 2016
  • 182 Sandip Bhattacharya, Debaprasad Das and Hafizur Rahaman, Reduced Thickness Interconnect Model using GNR to Avoid Crosstalk Effects, Vol. 15(2), pp..367–380, Journal of Computational Electronics (JCEL) (Springer), 2016
  • 183 Manodipan Sahoo and Hafizur Rahaman, Modeling and Analysis of Crosstalk Induced Overshoot/Undershoot Effects in Multilayer Graphene Nanoribbon Interconnects and Its Impact on Gate Oxide Reliability, Vol. 63, pp.231-238, Microelectronics Reliability (Elsevier), 2016
  • 184 Partha Sarathi Gupta, Sanatan Chattopadhyay, Partha Sarathi Dasgupta and Hafizur Rahaman, A Novel Photo-sensitive Tunneling Transistor For Near-Infrared Sensing Applications: Design, Modeling and Simulation, 62(5), 1516-1523, IEEE Transactions on Electron Devices, (TED 2015), 2015
  • 185 K Ghosh, BN Ray, Design of high-order elliptic filter from a versatile mode generic OTA-C structure, 102, 392-406, International Journal of Electronics, 2015
  • 186 Kamalika Datta, Indranil Sengupta, and Hafizur Rahaman, A Post-Synthesis Optimization Technique for Reversible Circuits Exploiting Negative Control Lines, 64(4), 1208-1214, IEEE Transactions on Computers, 2015
  • 187 K Ghosh, BN Ray, CCII-Based Nth-Order Mixed Mode Elliptic Filter with Grounded R and C, 24, 1550035, Journal of Circuits, Systems and Computers, 2015
  • 188 Manodipan Sahoo, Prasun Ghosal and, Hafizur Rahaman, Modeling and Analysis of Cross talk Induced Effects in Multiwalled Carbon Nanotube Bundle Interconnects: An ABCD Parameter Based Approach, 14(2), 259 - 274, IEEE Transactions on Nanotechnology, 2015
  • 189 K Ghosh, BN Ray, CCII-based n th-order current-mode filter with grounded R and C, 3, 105-121, International Journal of Electronics Letters, 2015
  • 190 Debasis Mitra, Sarmishtha Ghoshal, Hafizur Rahaman, Krishnendu Chakrabarty, and Bhargab B. Bhattacharya, Automated Washing Schemes for Residue Removal in Digital Microfluidic Biochips to Enhance Reliability, 21(1), 17, ACM Transactions on Design Automation of Electronic Systems, 2015
  • 191 Gupta, P.S. and Chattopadhyay, S. and Dasgupta, P. and Rahaman, H., A novel photosensitive tunneling transistor for near-infrared sensing applications: Design, modeling, and simulation, 62, 1516-1523, IEEE Transactions on Electron Devices, 2015
  • 192 Ghosh, Tamal, K. Krushnamurthy, Asisa Kumar Panigrahi, Asudeb Dutta, Ch Subrahmanyam, Siva Rama Krishna Vanjari, and Shiv Govind Singh, Facile non thermal plasma based desorption of self assembled monolayers for achieving low temperature and low pressure Cu–Cu thermo-compression bonding, RSC Advances, 2015
  • 193 Sudip Ghosh,Arijit Biswas, Santi Prasad Maity and Hafizur Rahaman, Field Programmable Gate Array and System-on-Chip Based Implementation of Discrete Fast Walsh-Hadamard Transform Domain Image Watermarking Architecture For Real-Time Applications, Vol. 11, No. 3, pp. 375-386, Journal of Low Power Electronics (JOLPE), 2015
  • 194 Debasis Mitra, Sarmishtha Ghoshal, Hafizur Rahaman, Krishnendu Chakrabarty, and Bhargab B. Bhattacharya, Automated Washing Schemes for Residue Removal in Digital Microfluidic Biochips to Enhance Reliability, Vol. 21(1), pp. 1-17, ACM Transactions on Design Automation of Electronic Systems, 2015
  • 195 Sayan Kanungo, Sanatan Chattopadhyay, Partha Sarathi Gupta and Hafizur Rahaman, Comparative Performance Analysis of the Dielectrically Modulated Full Gate and Short Gate Tunnel FET based Bio-Sensors, Vol.62(3), pp. 994 - 1001, IEEE Transactions on Electron Devices, 2015
  • 196 Kamalika Datta, Indranil Sengupta, and Hafizur Rahaman, A Post-Synthesis Optimization Technique for Reversible Circuits Exploiting Negative Control Lines, Vol. 64(4), pp.1208-1214, IEEE Transactions on Computers, 2015
  • 197 A Mukhopadhyay, L Banerjee, A Sengupta, H Rahaman, Effect of stacking order on device performance of bilayer black phosphorene-field-effect transistor, Vol. 118 (22), 224501, Journal of Applied Physics, 2015 (Springer), 2015
  • 198 Partha Sarathi Gupta, Sanatan Chattopadhyay, Partha Sarathi Dasgupta and Hafizur Rahaman, A Novel Photo-sensitive Tunneling Transistor For Near-Infrared Sensing Applications: Design, Modeling and Simulation, Vol.62(5), pp.1516-1523, IEEE Transactions on Electron Devices, 2015
  • 199 Gupta, P.S. and Chattopadhyay, S. and Dasgupta, P. and Rahaman, H., A novel photosensitive tunneling transistor for near-infrared sensing applications: Design, modeling, and simulation, 62, 1516-1523, IEEE Transactions on Electron Devices, 2015
  • 200 Datta, K. and Sengupta, I. and Rahaman, H., A post-synthesis optimization technique for reversible circuits exploiting negative control lines, 64, 1208-1214, IEEE Transactions on Computers, 2015
  • 201 Kanungo, S. and Chattopadhyay, S. and Gupta, P.S. and Rahaman, H., Comparative performance analysis of the dielectrically modulated full- gate and short-gate tunnel FET-based biosensors, 62, 994-1001, IEEE Transactions on Electron Devices, 2015
  • 202 Mukhopadhyay, A. and Banerjee, L. and Sengupta, A. and Rahaman, H., Effect of stacking order on device performance of bilayer black phosphorene-field-effect transistor, 118, Journal of Applied Physics, 2015
  • 203 Chanak, P. and Banerjee, I. and Rahaman, H., Load management scheme for energy holes reduction in wireless sensor networks, 48, 343-357, Computers and Electrical Engineering, 2015
  • 204 Sahoo, M. and Ghosal, P. and Rahaman, H., Modeling and Analysis of Crosstalk Induced Effects in Multiwalled Carbon Nanotube Bundle Interconnects: An ABCD Parameter-Based Approach, 14, 259-274, IEEE Transactions on Nanotechnology, 2015
  • 205 Sahoo, M. and Rahaman, H., Modeling of crosstalk induced effects in copper-based nanointerconnects: An ABCD parameter matrix-based approach, 24, Journal of Circuits, Systems and Computers, 2015
  • 206 Mitra, D. and Ghoshal, S. and Rahaman, H. and Chakrabarty, K. and Bhattacharya, B.B., Offline washing schemes for residue removal in digital microfluidic biochips, 21, ACM Transactions on Design Automation of Electronic Systems, 2015
  • 207 Roy, S.K. and Giri, C. and Rahaman, H., Optimisation of test architecture in threedimensional stacked integrated circuits for partial stack/complete stack using hard system-on-chips, 9, 268-274, IET Computers and Digital Techniques, 2015
  • 208 Mathew, J. and Rahaman, H. and Patra, P. and Pradhan, D., Selected articles from the IEEE ISED 2014 conference, 11, 373-374, Journal of Low Power Electronics, 2015
  • 209 Manodipan Sahoo, Prasun Ghosal and Hafizur Rahaman, Performance Modeling and Analysis of Carbon Nanotube Bundles for Future VLSI Circuit Applications, Vol.13(3), pp.673-688, Journal of Computational Electronics (Springer), 2015
  • 210 Manodipan Sahoo, Prasun Ghosal and Hafizur Rahaman, Performance Modeling and Analysis of Carbon Nanotube Bundles for Future VLSI Circuit Applications, 13(3), 673-688, Journal of Computational Electronics (Springer), 2014
  • 211 Sahoo, M. and Ghosal, P. and Rahaman, H., Performance modeling and analysis of carbon nanotube bundles for future VLSI circuit applications, 13, 673-688, Journal of Computational Electronics, 2014
  • 212 U. Chatterjeea, , , A. Dasa, T. Ghoshc, S.P. Duttaguptaa, M.N. Gandhib, S.G. Singh, Effect of post deposition annealing on thermal evaporated ZnSe:Te towards a scintillator application, Microelectronic Engineering, 2014
  • 213 Kamalika Datta, Gaurav Rathi, Indranil Sengupta and Hafizur Rahaman, An Improved Reversible Circuit Synthesis Approach using Clustering of ESOP Cubes, Vol. 11(2), pp. 1-15, ”, ACM Journal on Emerging Technologies in Computing Systems (JETC), 11(2):15(2014)., 2014
  • 214 S. Basu Pal, S. Bijali, S.R. Bhadra Chaudhuri, D. Mukherjee, Modelling Solar PV Behavior using the Interpolation approach for climaticconditions of Eastern India, 472, 206-210, Applied Mechanics and Materials, 2014
  • 215 Nachiketa Das, Pranab Roy and Hafizur Rahaman, Detection of Crosstalk Faults in Field Programmable Gate Arrays (FPGA)., 96, 227 -236, Journal of The Institution of Engineers (India): Series B IEI(B) (Springer), 2014
  • 216 Ghosal, P. and Rahaman, H. and Mukherjee, K. and Ballabh, D., A low power, low jitter DLL based low frequency (250 kHz) clock generator, 7, 3-11, International Journal of Signal and Imaging Systems Engineering, 2014
  • 217 Datta, K. and Rathi, G. and Sengupta, I. and Rahaman, H., An improved reversible circuit synthesis approach using clustering of ESOP cubes, 11, ACM Journal on Emerging Technologies in Computing Systems, 2014
  • 218 Banerjee, I. and Chanak, P. and Rahaman, H. and Samanta, T., Effective fault detection and routing scheme for wireless sensor networks, 40, 291-306, Computers and Electrical Engineering, 2014
  • 219 Das, N. and Roy, P. and Rahaman, H., Bridging fault detection in cluster based FPGA by using Muller C element, 39, 2469-2482, Computers and Electrical Engineering, 2013
  • 220 Das, N. and Roy, P. and Rahaman, H., Built-in-self-test technique for diagnosis of delay faults in cluster-based field programmable gate arrays, 7, 210-220, IET Computers and Digital Techniques, 2013
  • 221 Kole, D.K. and Rahaman, H. and Das, D.K. and Bhattacharya, B.B., Derivation of test set for detecting multiple missing-gate faults in reversible circuits, 39, 225-236, Computers and Electrical Engineering, 2013
  • 222 Ghosh, Tamal, Ashudeb Dutta, Shivgovind Singh, Copper Protection by SAM and Low Temperature Bonding for 3Dimentional Integration, Advanced Materials Research, 2013
  • 223 Nachiketa Das, Pranab Roy and Hafizur Rahaman, BIST For Testing And Diagnosis Of Delay Fault in Cluster Based Field Programmable Gate Arrays, 7, 210-222, IET Journal of Computers & Digital Techniques, 2013
  • 224 Nachiketa Das, Pranab Roy and Hafizur Rahaman, Bridging Fault Detection in Cluster Based FPGA by Using Muller-C Element, 39, 225-236, Elsevier Journal of Computer and Electrical Engineering, 2013
  • 225 Debaprasad Das and Hafizur Rahaman, Modeling of Single-Wall Carbon Nanotube Interconnects for Different Process, Temperature, and Voltage Conditions and Investigating Timing Delay, 11(4), 349-363, Journal of Computational Electronics (Springer), 2012
  • 226 Sudip Ghosh, Somsubhra Talapatra, Navonil Chatterjee, Santi P Maity and Hafizur Rahaman, FPGA based Implementation of Embedding and Decoding Architecture for Binary Watermark by Spread Spectrum Scheme in Spatial Domain, Vol. 2, No. 4, pp. 01-08, Bonfring International Journal of Advances in Image Processing, 2012
  • 227 K.Das(Bhattacharya), J.K Das et al, Coordination of Loss of excitation with capability curve & steady state stability Limit for a Large Alternator, 5, 501-521, International Journal of Electrical Engineering (IJEE), 2012
  • 228 Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta, Two-level clustering-based techniques for intelligent droplet routing in digital microfluidic biochips, 45, 316 - 330, Elsevier Integration, 2012
  • 229 Pranab Roy, Hafizur Rahaman and Parthasarthi Das Gupta, Two-level Clustering-based Techniques for Intelligent Droplet Routing in Digital Microfluidic Biochips, Vol.45 (3), pp.316-330, Integration, the VLSI Journal (Elsevier), 2012
  • 230 Debaprasad Das and Hafizur Rahaman, Modeling of Single-Wall Carbon Nanotube Interconnects for Different Process, Temperature, and Voltage Conditions and Investigating Timing Delay, Vol. 11(4), pp. 349-363, Journal of Computational Electronics (Springer), 2012
  • 231 Kasturi Ghosh, Arabinda Roy, Sekhar Mondal, Baidyanath Ray, PARAMETRIC DEVIATION BASED ANALOG TEST AND DIAGNOSIS SYSTEM, 20, 1323-1340, Journal of Circuits, Systems, and Computers, 2011
  • 232 Debaprasad Das and Hafizur Rahaman, Crosstalk Overshoot/undershoot Analysis and its impact on Gate Oxide Reliability in Multi-wall Carbon Nanotube Interconnects, 10(4), 360-372, Journal of Computational Electronics (Springer), 2011
  • 233 Debaprasad Das and Hafizur Rahaman, Analysis of Crosstalk in Single- and Multi-Wall Carbon Nanotube Interconnects and its Impact on Gate Oxide Reliability, 10(6), 1362-1370, IEEE Transactions on Nanotechnology, 2011
  • 234 Debaprasad Das and Hafizur Rahaman, Analysis of Crosstalk in Single- and Multi-Wall Carbon Nanotube Interconnects and its Impact on Gate Oxide Reliability, Vol. 10(6), pp. 1362-1370, IEEE Transactions on Nanotechnology, 2011
  • 235 Debaprasad Das and Hafizur Rahaman, Crosstalk Overshoot/undershoot Analysis and its impact on Gate Oxide Reliability in Multi-wall Carbon Nanotube Interconnects, Vol. 10(4), pp..360-372, Journal of Computational Electronics (Springer), 2011
  • 236 K.Das(Bhattacharya), D.Ghosh, D. Dasgupta, Development of the Microcontroller Based Relay for Protection of the Alternator against Prime-Mover Failure, 91, 21-25, The Institution of Engineers JIE, 2010
  • 237 Somsubhra Talapatra, Hafizur Rahaman, and J. Mathew, Low Complexity Digit Serial Systolic Montgomery Multipliers for Special Class of GF(2^m), Vol.18(5), pp.847-852, IEEE Transactions on VLSI Systems, 2010
  • 238 Hafizur Rahaman, Jimson Mathew and Dhiraj K. Pradhan, Test Generation in Systolic Architecture for Multiplication over GF(2^m), Vol. 18(9), pp.1366-1371, IEEE Transactions on VLSI Systems, 2010
  • 239 Hafizur Rahaman, D. K. Das, and B. B. Bhattacharya, An Adaptive BIST Design for Detecting Multiple Stuck-Open Faults in CMOS Complex Cell, Vol. 57(12), pp.2838-2845, IEEE Transactions on Instrumentation and Measurement, 2008
  • 240 Hafizur Rahaman, J. Mathew, A. M. Jabir and D. K. Pradhan, Derivation of Reduced Test Vectors to Test Bit Parallel Multipliers over GF(2^m), Vol.57(9), pp.1289-1294, IEEE Transactions on Computers, 2008
  • 241 Hafizur Rahaman, J. Mathew, A. M. Jabir and D. K. Pradhan, C-Testable Bit Parallel Multipliers over GF(2^m), Vol. 13, No. 1, Article 5, pp.1-16, ACM Transactions on Design Automation of Electronic Systems, 2008
  • 242 K.Das(Bhattacharya), D.Ghosh, D. Dasgupta, Development of the Microcontroller based Relay for Protection of the Alternator Against Rotor Insulation Failure, 87, 30-35, The Institute of Engineers(INDIA), 2006
  • 243 K.Das(Bhattacharya), D.Ghosh, D. Dasgupta, Software Based Negative Sequence Relay, 84, 18-21, The Institute of Engineers(INDIA ),IE(I), Journal ET, 2006
  • 244 K.Das(Bhattacharya), D.Ghosh, D. Dasgupta, Software Based Loss of Excitation Relay, 79, 204-207, The Institute of Engineers(INDIA) , Journal-EL, 1999
  • 1 Supriyo Srimani, Kasturi Ghosh, Hafizur Rahaman, Parametric Fault Diagnosis of Analog Circuits using Adaptive Boosting, 717-720, 2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2024
  • 2 Sourodeep Kundu, Subham Kumar, Laxmidhar Biswal, Chandan Bandyopadhyay, Anirban Bhattacharjee, Hafizur Rahaman, An Improved Circuit Transformation Technique for Nearest Neighbor Implementation of Quantum Circuits, 1-6, 2024 28th International Symposium on VLSI Design and Test (VDAT), 2024
  • 3 Chaudhuri, D., Rahaman, H., Ghosh, T., A Novel Approach to Model and Analyze Wafer–Wafer Hybrid Bonding, Emerging Electronic Devices, Circuits and Systems. Lecture Notes in Electrical Engineering, vol 1004. Springer, 2023
  • 4 Pooja Joshi, Hafizur Rahaman, A comprehensive review on ReRAM-based accelerators for deep learning, 01-05, 2023 International Symposium on Devices, Circuits and Systems (ISDCS), 2023
  • 5 Sourodeep Kundu, Shubham Kumar, Hafizur Rahaman, Anirban Bhattacharjee, A 2D-Based Synthesis Strategy for Nearest Neighbor Transformation of Quantum Circuits, 591-614, International Conference on Frontiers in Computing and Systems, 2023
  • 6 Moumita Pramanik, Tuhin Kumar Barui, Hiranmay Samanta, Konika Das Bhattacharya, Chandan Kumar Chanda, Hiranmay Saha, Onboard Rooftop Solar Charging for Green Transportation in tourism sector, 2023 IEEE IAS Global Conference on Renewable Energy and Hydrogen Technologies (GlobConHT), 2023
  • 7 Laxmidhar Biswal, Bappaditya Mondal, Anindita Chakraborty, Hafizur Rahaman, Efficient quantum implementation of majority-based full adder circuit using clifford+ t-group, 53-63, Artificial Intelligence Driven Circuits and Systems: Select Proceedings of ISED 2021, 2022
  • 8 Soumajit Ghosh, Mitiko Miura-Mattausch, Takahiro Iizuka, Hafizur Rahaman, HJ Mattausch, Operating-condition optimization of MG-MOSFETs for low-voltage application, 97-99, 2022 6th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), 2022
  • 9 Bappaditya Mondal, Udit Narayana Kar, Chandan Bandyopadhyay, Debashri Roy, Hafizur Rahaman, An Online Testing Technique for the Detection of Control Nodes Displacement Faults (CNDF) in Reversible Circuits, 249-261, International Symposium on VLSI Design and Test, 2022
  • 10 Rakesh Das, Alongbar Wary, Arindam Dey, Raju Hazari, Chandan Bandyopadhyay, Hafizur Rahaman, Design of Optimum n-bit ALU Using Crossbar Gate, 435-444, International Conference on Data, Electronics and Computing, 2022
  • 11 Supriyo Srimani, Hafizur Rahaman, Testing of analog circuits using statistical and machine learning techniques, 619-626, 2022 IEEE International Test Conference (ITC), 2022
  • 12 Subham Kumar, Anirban Bhattacharjee, Sudip Ghosh, Hafizur Rahaman, A Novel 2D Mapping Scheme for Nearest Neighbor Design of Quantum Circuits, 210-216, 2022 IEEE International Conference of Electron Devices Society Kolkata Chapter (EDKCON), 2022
  • 13 Rupam Sardar, Arkapravo Nandi, Aishi Pramanik, Soumen Bhowmick, De Debashis, Sudip Ghosh, Hafizur Rahaman, Artificial Neural Network Design for CMOS NAND Gate Using Sigmoid Function, 99-113, Intelligent Systems and Human Machine Collaboration: Select Proceedings of ICISHMC, 2022
  • 14 Anik Saha, Subhajit Chatterjee, Supriyo Srimani, Tuhina Samanta, Hafizur Rahaman, Multinet Global Routing Algorithm for On-Chip Optical Interconnects to Minimize Optical Signal Loss, 155-170, Emerging Electronic Devices, Circuits and Systems: Select Proceedings of EEDCS Workshop Held in Conjunction with ISDCS, 2022
  • 15 Laxmidhar Biswal, Niladri Pratap Maity, Hafizur Rahaman, Quantum Fault-Tolerant Implementation of a Majority-Based 4-Bit BCD Adder, 33-45, Emerging Electronic Devices, Circuits and Systems: Select Proceedings of EEDCS Workshop Held in Conjunction with ISDCS, 2022
  • 16 Moumita Pramanik; Uttiya Roy; Konika Das Bhattacharya; Chandan Kumar Chanda, Effects of X/R on the Power Dynamics of a Rural Distribution System and the economic implications, 2022 IEEE Calcutta Conference (CALCON), 10-11th December 2022, 2022
  • 17 Pranab Roy, Arko Dutt, Hafizur Rahaman, 3-D IC: An Overview of Technologies, Design Methodology, and Test Strategies, 859-871, Proceedings of International Conference on Frontiers in Computing and Systems: COMSYS 2020, 2021
  • 18 Laxmidhar Biswal, Chandan Bandyopadhyay, Sudip Ghosh, Hafizur Rahaman, Fault-Tolerant Implementation of Quantum Arithmetic and Logical Unit (QALU) Using Clifford+T-Group, 833-844, Proceedings of International Conference on Frontiers in Computing and Systems: COMSYS 2020, 2021
  • 19 Supriyo Srimani, Kasturi Ghosh, Hafizur Rahaman, Neural network based indirect estimation of functional parameters of amplifier by extracting features from wavelet transform, 310-315, 2021 34th International Conference on VLSI Design and 2021 20th International Conference on Embedded Systems (VLSID), 2021
  • 20 Koustav Dey, Malay Kule, Hafizur Rahaman, PUF based hardware security: A review, 1-6, 2021 International Symposium on Devices, Circuits and Systems (ISDCS), 2021
  • 21 Santasri Giri Tunga, Subhajit Das, Hafizur Rahaman, A brief review of recent studies on performance improvement of graphene nanoribbon interconnect, 1-6, 2021 International Symposium on Devices, Circuits and Systems (ISDCS), 2021
  • 22 Urbashi Basumata, Annapurna Mondal, Subhajit Das, Hafizur Rahaman, Design of two-stage fully-differential driver in SAR ADC with indirect feedback compensation technique, 1-5, 2021 International Symposium on Devices, Circuits and Systems (ISDCS), 2021
  • 23 Bramh Dev Singh, Sourav Naskar, Subhajit Das, Hafizur Rahaman, Modelling, Analysis and Optimization of a 4th Order Delta-Sigma ADC and its Non-Idealities for Audio Codec Applications Achieving Dynamic Range Above 100dB, 1-6, 2021 International Symposium on Devices, Circuits and Systems (ISDCS), 2021
  • 24 Pooja Joshi, Hafizur Rahaman, Complementary Memresistive Switch Based Realization of Delay and Toggle Flip-Flop, 335-339, 2021 Devices for Integrated Circuit (DevIC), 2021
  • 25 Lopamudra Banerjee, Hafizur Rahaman, Computational analysis of doped (10, 0) MoS2 ANR metal junction by Schottky Barrier height modulation, 148-151, 2021 Devices for Integrated Circuit (DevIC), 2021
  • 26 Anirban Bhattacharjee, Hafizur Rahaman, An efficient 2d mapping of quantum circuits to nearest neighbor designs, 53-58, 2021 IEEE International Symposium on Smart Electronic Systems (iSES), 2021
  • 27 Uttam Kumar Sahu, Ajoy Kumar Saha, Partha Sarathi Gupta, Hafizur Rahaman, Valley Resolved Current Components Analysis of Monolayer TMDFETs, 1-5, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 28 Subhajit Chatterjee, Surajit Kumar Roy, Chandan Giri, Hafizur Rahaman, An efficient test scheduling to Co-optimize test time and peak power for 3D ICs, 1-6, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 29 Joshua Roy Palathinkal, Yuvam Bhateja, Sudip Ghosh, Hafizur Rahaman, A New Blind Invisible and Semi-Fragile Colour Image Watermarking Scheme in Spatial Domain, 1-6, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 30 Soumajit Ghosh, Mitiko Miura-Mattausch, Takahiro Iizuka, Hideyuki Kikuchihara, Hafizur Rahaman, Hans Jürgen Mattausch, History Effect on Circuit Performance of SOI-MOSFETs, 1-5, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 31 SP Kaarmukilan, Anakhi Hazarika, Soumyajit Poddar, Hafizur Rahaman, An accelerated prototype with movidius neural compute stick for real-time object detection, 1-5, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 32 Moumita Acharya, Soumyajit Poddar, Amlan Chakrabarti, Hafizur Rahaman, Image classification based on approximate wavelet transform and transfer learning on deep convolutional neural networks, 1-6, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 33 Sudip Ghosh, Hafizur Rahaman, A new digital color image watermarking algorithm with its FPGA and ASIC implementation, 1-6, 2020 International symposium on devices, circuits and systems (ISDCS), 2020
  • 34 Laxmidhar Biswal, Khokan Mondal, Anirban Bhattacharjee, Hafizur Rahaman, Fault-tolerant quantum implementation of priority encoder circuit using clifford+ t-group, 1-6, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 35 Subhajit Das, Sandip Bhattacharya, Debaprasad Das, Hafizur Rahaman, A short review on graphene nanoribbon interconnect, 1-7, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 36 Indranil Maity, Hafizur Rahaman, Partha Bhattacharyya, DFT Based Simulation for Predicting Alcohol Adsorption on Oxygenated Functional Group Containing GO and rGO Based Gas Sensor Devices, 1-6, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 37 Sumit Kumar Jaiswal, Annapurna Mondal, Supriyo Srimani, Subhajit Das, Kasturi Ghosh, Hafizur Rahaman, Design of a low power, high speed self calibrated dynamic latched comparator, 1-6, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 38 Sudip Ghosh, Prasun Ghosal, Santi Prasad Maity, Hafizur Rahaman, PEE Based Reversible Watermarking Algorithm for Authentication and Security of Medical Images, 1620-1623, 2020 IEEE Region 10 Symposium (TENSYMP), 2020
  • 39 Pranab Roy, Debajyoti Pal, Tanmoy Biswas, Rupam Bhattacharya, Hafizur Rahaman, Bio-inspired Routing in DMFB: An Artificial Swarm Propagation Based Application, 1-11, Proceedings of the 11th International Conference on Advances in Information Technology, 2020
  • 40 Supriyo Srimani, Kasturi Ghosh, Hafizur Rahaman, Wavelet Transform based fault diagnosis in analog circuits with SVM classifier, 1-10, 2020 IEEE International Test Conference India, 2020
  • 41 Subhajit Chatterjee, Surajit Kumar Roy, Chandan Giri, Hafizur Rahaman, Machine learning based temperature estimation for test scheduling of 3d ICs, 1-8, 2020 IEEE International Test Conference India, 2020
  • 42 Chandan Bandyopadhyay, Robert Wille, Rolf Drechsler, Hafizur Rahaman, Post synthesis-optimization of reversible circuit using template matching, 1-4, 2020 24th International Symposium on VLSI Design and Test (VDAT), 2020
  • 43 Sudip Ghosh, Tridibesh Nag, Santi Prasad Maity, Hafizur Rahaman, Reversible Color Image Watermarking Algorithm using Reverse Contrast Mapping, 444-447, 2020 IEEE 1st International Conference for Convergence in Engineering (ICCE), 2020
  • 44 Ankur Biswas, Sudip Ghosh, Tridibesh Nag, Santi Prasad Maity, Hafizur Rahaman, Hls based implementation of modified de-riw algorithm on fpga and p-soc, 439-443, 2020 IEEE 1st International Conference for Convergence in Engineering (ICCE), 2020
  • 45 M. Parai, K. Ghosh, H. Rahaman, Potentiality of Data Fusion in Analog Circuit Fault Diagnosis, IEEE 29th Asian Test Symposium (Accepted), 2020
  • 46 Anirban Bhattacharjee, Chandan Bandyopadhyay, Angshu Mukherjee, Robert Wille, Rolf Drechsler, Hafizur Rahaman, Efficient implementation of nearest neighbor quantum circuits using clustering with genetic algorithm, 40-45, 2020 IEEE 50th International Symposium on Multiple-Valued Logic (ISMVL), 2020
  • 47 M Parai, Kasturi Ghosh, Hafizur Rahaman, Potentiality of Data Fusion in Analog Circuit Fault Diagnosis, 1-6, 2020 IEEE 29th Asian Test Symposium (ATS), 2020
  • 48 D. Chaudhuri, D. Nandi Das, H. Rahaman and T. Ghosh, Heat Mitigation in 3D ICs by Improvised TTSV Structure, International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 49 Debika Chaudhuri, Dalia Nandi Das, Hafizur Rahaman, Tamal Ghosh, Modeling and Analysis of 3D IC Structures for Heat Mitigation by Thermal Through Silicon Vias, 296-299, 2020 IEEE 15th International Conference on Industrial and Information Systems (ICIIS), 2020
  • 50 SP Kaarmukilan, Soumyajit Poddar, Hafizur Rahaman, FPGA based Structural Radial Basis Function Neural Network with Hybrid Optimization for Neural Activity, 1-6, 2020 IEEE 7th Uttar Pradesh Section International Conference on Electrical, Electronics and Computer Engineering (UPCON), 2020
  • 51 D. Chaudhuri, D. N. Das, H. Rahaman and T. Ghosh, Modeling and Analysis of 3D IC Structures for Heat Mitigation by Thermal Through Silicon Vias, IEEE 15th International Conference on Industrial and Information Systems (ICIIS), 2020
  • 52 M Parai, K Ghosh, H Rahaman, Fusion of Information for Fault Diagnosis in Analog Circuits, 1-6, 2020 IEEE 17th India Council International Conference (INDICON), 2020
  • 53 Subhashree Basu, Malay Kule, Hafizur Rahaman, Symmetric Function Based Memristive Polimino PUF with Enhanced Security, 143-146, 2020 IEEE International Symposium on Smart Electronic Systems (iSES)(Formerly iNiS), 2020
  • 54 Subhashree Basu, Malay Kule, Hafizur Rahaman, Detection of Hardware Trojan in Presence of Sneak Path in Memristive Nanocrossbar Circuits, 1-4, 2021 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 55 Pranab Roy,Debajyoti Pal,Tanmoy Biswas,Rupam Bhattacharya,Hafizur Rahaman, Bio-inspired Routing in DMFB: An Artificial Swarm Propagation Based Application, 1 - 11, 11th International Conference on Advances in Information Technology, 2020,ACM International Conference Proceeding Series, 2020
  • 56 Pranab Roy,Amiya Sahoo,Mriganka Chakrabarty,Hafizur Rahaman, Microfluidic Cyberphysical Diagnostic System: An ANN Based Application, 1 - 6, 3rd IEEE International Symposium on Devices,Circuits and Systems,2020,IIEST,Shibpur,India, 2020
  • 57 Pranab Roy, Arko Dutt, Hafizur Rahaman, 3-D IC: An Overview of Technologies, DesignMethodology and Test Strategies, at press, ”,International conference on frontiers in Computing and Systems,2020,Jalpaiguri,WB,India and Advances in Intelligent systems and computing(AISM,Springer), 2020
  • 58 S. Basu Pal, Prof. K. Das (Bhattacharya), Prof. D. Mukherjee, A Performance appraisal of Power losses in Poly-Si PV Panels -An experience in Eastern Indian Climatic Condition, UEMCOS, 2020
  • 59 S. Basu Pal, Prof. K. Das (Bhattacharya), Prof. D. Mukherjee, Performance Analysis of different technology PV Modules in Eastern Indian climatic conditions- An Approach based on Solar Geometry,, Michael Faraday IET International Summit: MFIIS, 2020
  • 60 SudiptaBasuPal, Konika Das(BHattacharya), Debkalyan Paul, Dipankar Mukherjee, A Quality Assessment of PV Metrological Technique--------A Case Study with Poly-Si PV Modules at IIEST, Kolkata , India, IEEE 47th PhotoVoltaic Specialists Conference(PVSC), 2020
  • 61 Anirban Bhattacharjee, Chandan Bandyopadhyay, Bappaditya Mondal, Hafizur Rahaman, A survey report on recent progresses in nearest neighbor realization of quantum circuits, 57-68, Soft Computing: Theories and Applications: Proceedings of SoCTA 2019, 2020
  • 62 Laxmidhar Biswal, Chandan Bandyopadhyay, Hafizur Rahaman, Clifford+ T-based Fault-Tolerant Quantum Implementation of Code Converter Circuit, 639-648, Soft Computing: Theories and Applications: Proceedings of SoCTA 2019, 2020
  • 63 Debika Chaudhuri, Dalia Nandi Das, Hafizur Rahaman, Tamal Ghosh, Heat Mitigation in 3D ICs by Improvised TTSV Structure, 1-4, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 64 Pranab Roy, Amiya Sahoo, Mriganka Chakrabarty, Hafizur Rahaman, Microfluidic cyberphysical diagnostic system: An ANN based application, 1-6, 2020 International Symposium on Devices, Circuits and Systems (ISDCS), 2020
  • 65 A. K. Panigrahy, S. Bonam, T. Ghosh, S. R. K. Vanjari and S. G. Singh, Diffusion Enhanced Drive Sub 100 °C Wafer Level Fine-Pitch Cu-Cu Thermocompression Bonding for 3D IC Integration, IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2019
  • 66 Pampa Howladar, Pranab Roy, Hafizur Rahaman, Micro-electrode-dot array based Biochips : Advantages of Using Different Shaped CMAs, IEEE Computer Society Annual Symposium on VLSI(ISVLSI),North Miami,Florida,USA, 2019
  • 67 HiranmaySamanta, Konika Das(Bhattacharya), H. Saha et al, Development of a Novel Controllerfor DC-DC Boost Converter for DC MIcroGrid, IEEE TENCON at Kochi, 2019
  • 68 Rupam Bhattacharya, Pranab Roy, Hafizur Rahaman, A new combined routing technique in digital microfluidic biochip, 441-450, Emerging Technologies in Data Mining and Information Security: Proceedings of IEMIS 2018, Volume 1, 2019
  • 69 Rupam Bhattacharya, Pranab Roy, Hafizur Rahaman, A new homogeneous droplet transportation algorithm and its simulator to boost route performance in digital microfluidic biochips, 429-440, Emerging Technologies in Data Mining and Information Security: Proceedings of IEMIS 2018, Volume 1, 2019
  • 70 Anirban Bhattacharjee, Chandan Bandyopadhyay, Laxmidhar Biswal, Hafizur Rahaman, A heuristic qubit placement strategy for nearest neighbor realization in 2d architecture, 593-605, VLSI Design and Test: 22nd International Symposium, VDAT 2018, Madurai, India, June 28-30, 2018, Revised Selected Papers 22, 2019
  • 71 Laxmidhar Biswal, Anirban Bhattacharjee, Rakesh Das, Gopinath Thirunavukarasu, Hafizur Rahaman, Quantum domain design of Clifford+ t-based bidirectional barrel shifter, 606-618, VLSI Design and Test: 22nd International Symposium, VDAT 2018, Madurai, India, June 28-30, 2018, Revised Selected Papers 22, 2019
  • 72 Bappaditya Mondal, Anirban Bhattacharjee, Subham Saha, Shalini Parekh, Chandan Bandyopadhyay, Hafizur Rahaman, An approach for detection of node displacement fault (NDF) in reversible circuit, 605-616, VLSI Design and Test: 23rd International Symposium, VDAT 2019, Indore, India, July 4–6, 2019, Revised Selected Papers 23, 2019
  • 73 Anirban Bhattacharjee, Chandan Bandyopadhyay, Robert Wille, Rolf Drechsler, Hafizur Rahaman, Improved look-ahead approaches for nearest neighbor synthesis of 1D quantum circuits, 203-208, 2019 32nd International Conference on VLSI Design and 2019 18th International Conference on Embedded Systems (VLSID), 2019
  • 74 Rakesh Das, Anupam Chattopadhyay, Hafizur Rahaman, Optimizing Quantum Circuits for Modular Exponentiation, 407-412, 2019 32nd International Conference on VLSI Design and 2019 18th International Conference on Embedded Systems (VLSID), 2019
  • 75 Subhajit Das, Sandip Bhattacharya, Debaprasad Das, Hafizur Rahaman, Comparative Stability Analysis of Pristine and AsF5 Intercalation Doped Top Contact Graphene Nano Ribbon Interconnects, 1-4, 2019 2nd International Symposium on Devices, Circuits and Systems (ISDCS), 2019
  • 76 Anakhi Hazarika, Soumyajit Poddar, Hafizur Rahaman, Hardware efficient convolution processing unit for deep neural networks, 1-4, 2019 2nd International Symposium on Devices, Circuits and Systems (ISDCS), 2019
  • 77 Sagnik Bhar, Annapurna Mondal, Supriyo Srimani, Indranil Hatai, Subhajit Das, Kasturi Ghosh, Hafizur Rahaman, A low power driver amplifier for Fully Differential ADC, 1-6, 2019 2nd International Symposium on Devices, Circuits and Systems (ISDCS), 2019
  • 78 Ajay Kumar Chowdhary, Supriyo Srimani, Subhajit Das, Kasturi Ghosh, Hafizur Rahaman, Estimation of non-linear effects for Capacitive DAC in SAR ADC: An Analytical Model, 1-5, 2019 2nd International Symposium on Devices, Circuits and Systems (ISDCS), 2019
  • 79 Soumajit Ghosh, Vishal Roshan, Avishek Dutta, Subhajit Das, Tapas Kumar Maiti, Mitiko Miura-Mattausch, Hafizur Rahaman, Optimization of DC-DC Power Converter Design with Second Generation HiSIM_HV Model, 1-5, 2019 2nd International Symposium on Devices, Circuits and Systems (ISDCS), 2019
  • 80 Arnab Mukhopadhyay, Amretashis Sengupta, Hafizur Rahaman, Effect of Uniaxial Strain on Properties of Blue Phosphorene-CNT Heterojunction, 131-133, 2019 Devices for Integrated Circuit (DevIC), 2019
  • 81 Pranav Agarwal, Soumyajit Poddar, Anakhi Hazarika, Hafizur Rahaman, Learning to synthesize faces using voice clips for Cross-Modal biometric matching, 397-402, 2019 IEEE Region 10 Symposium (TENSYMP), 2019
  • 82 Annapurna Mondal, Sagnik Bhar, Supriyo Srimani, Indranil Hatai, Kasturi Ghosh, Hafizur Rahaman, Analytical Model of a Multi-Resolution Sample rate re-configurable Decimator for SDADC, 588-592, 2019 IEEE Region 10 Symposium (TENSYMP), 2019
  • 83 Pampa Howladar, Pranab Roy, Hafizur Rahaman, Micro-electrode-dot array based biochips: Advantages of using different shaped CMAs, 296-301, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2019
  • 84 Anakhi Hazarika, Avinash Jain, Soumyajit Poddar, Hafizur Rahaman, Shift and accumulate convolution processing unit, 914-919, TENCON 2019-2019 IEEE Region 10 Conference (TENCON), 2019
  • 85 Laxmidhar Biswal, Chandan Bandyopadhay, Hafizur Rahaman, Fault-tolerant Quantum Implementation of 1-bit and 4-bit Comparator Circuit using Clifford+ T-group, 1-6, 2019 9th International Symposium on Embedded Computing and System Design (ISED), 2019
  • 86 Laxmidhar Biswal, Chandan Bandyopadhyay, Hafizur Rahaman, Efficient implementation of fault-tolerant 4: 1 quantum multiplexer (qmux) using clifford+ t-group, 69-74, 2019 IEEE International Symposium on Smart Electronic Systems (iSES)(Formerly iNiS), 2019
  • 87 Supriyo Srimani, Ravi Singh, Manas Kumar Parai, Kasturi Ghosh, Hafizur Rahaman, Distortion Analysis Using Volterra Kernel for Amplifier Circuits, 308-311, 2019 IEEE International Symposium on Smart Electronic Systems (iSES)(Formerly iNiS), 2019
  • 88 Asisa Kumar Panigrahi, C Hemanth Kumar, Satish Bonam, Paul K Brince, Tamal Ghosh, Nirupam Paul, Siva Rama Krishna Vanjari, Shiv Govind Singh, Metal-alloy Cu surface passivation leads to high quality fine-pitch bump-less Cu-Cu bonding for 3D IC and heterogeneous integration applications, IEEE 68th Electronic Components and Technology Conference (ECTC), 2018
  • 89 Pampa Howladar, Pranab Roy, Subhajit Chatterjee, Hafizur Rahaman, Daisy Chain Based Actuation Techniques for MEDA Based Biochips: A Detailed Analysis, 1- 6, 6th International Conference on Computing, Communication and Sensor Network ,Kolkata., 2018
  • 90 Arindam Sinha Roy, Subrata Das, Pranab Roy, Hafizur Rahaman, An Angular Steiner Tree Based Global Routing Algorithm For Graphene Nanoribbon Circuit, 1 - 6, 22ND VLSI Design and Test Symposium (VDAT-2018), Madurai,India, 2018
  • 91 Arindam Sinharoy, Pranab Roy, Hafizur Rahaman, Computing Fréchet Distance Metric based L-Shape Tile Decomposition for E-Beam Lithography, 313-318, Computing Fréchet Distance Metric based L-Shape Tile Decomposition for E-Beam Lithography, 2018
  • 92 Arindam Sinharay, Pranab Roy, Hafizur Rahaman, Computing fréchet distance metric based L-shape tile decomposition for e-beam lithography, 313-318, 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID), 2018
  • 93 Sourav Ghosh, Hafizur Rahaman, Chandan Giri, Optimized concurrent testing of digital microfluidic biochips, 453-454, 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID), 2018
  • 94 Partha Sarathi Gupta, Hafizur Rahaman, A phototransistor based on field-effect with bias-dependent mode switching from thermionic to tunneling, 1-7, 2018 International Symposium on Devices, Circuits and Systems (ISDCS), 2018
  • 95 A Mukhopadhyay, S Bhattacharya, T Iizuka, TK Maiti, M Miura-Mattausch, A Gau, D Navarro, H Rahaman, A Sengupta, S Yoshitomi, HJ Mattausch, MOSFET optimization toward power efficient circuit design, 1-4, 2018 International Symposium on Devices, Circuits and Systems (ISDCS), 2018
  • 96 Kunal Sinha, Sanatan Chattopadhyay, Hafizur Rahaman, Investigation of process induced stress in the channel of a SiGe embedded source/drain Ge-FinFET architecture, 1-6, 2018 International Symposium on Devices, Circuits and Systems (ISDCS), 2018
  • 97 Subham Saha, Arpan Manna, Chandan Bandyopadhyay, Hafizur Rahaman, All optical design of hybrid adder circuit using terahertz optical asymmetric demultiplexer, 1-6, 2018 International Symposium on Devices, Circuits and Systems (ISDCS), 2018
  • 98 Laxmidhar Biswal, Rakesh Das, Anirban Bhattacharjee, Sudip Ghosh, Hafizur Rahaman, Clifford+ T-based quantum high speed multiplier, 1-7, 2018 International Symposium on Devices, Circuits and Systems (ISDCS), 2018
  • 99 Subhajit Das, Debaprasad Das, Hafizur Rahaman, Performance modeling of intercalation doped graphene-nanoribbon interconnects, 1-5, 2018 International Symposium on Devices, Circuits and Systems (ISDCS), 2018
  • 100 Supriyo Srimani, Kasturi Ghosh, Hafizur Rahaman, Soft fault detection in analog circuits from probability density function, 1-5, 2018 International Symposium on Devices, Circuits and Systems (ISDCS), 2018
  • 101 Laxmidhar Biswal, Anirban Bhattachaijee, Sudip Ghosh, Hafizur Rahaman, Implementation of neareast neighbor quantum circuit with low quantum cost, 1-6, 2018 International Symposium on Devices, Circuits and Systems (ISDCS), 2018
  • 102 Dipak K Kole, Hafizur Rahaman, Debesh Kumar Das, Somnath Rakshit, Sraboni Mondal, A novel reversible synthesis of array multiplier, 1-4, 2018 International Symposium on Devices, Circuits and Systems (ISDCS), 2018
  • 103 Niladri Singha Mahapatra, Hafizur Rahaman, Partha Bhattacharyya, Kasturi Ghosh, Adsorption probability of CH4, H2O and H2 in two-dimensional zinc oxide matrix: A prediction by DFT analysis, 1-4, 2018 International Symposium on Devices, Circuits and Systems (ISDCS), 2018
  • 104 Jahangir Shaikh, Hafizur Rahaman, High speed and low power preset-able modified TSPC D flip-flop design and performance comparison with TSPC D flip-flop, 1-4, 2018 international symposium on devices, circuits and systems (ISDCS), 2018
  • 105 Rakesh Das, Anirban Bhattacharjee, Laxmidhar Biswal, Chandan Bandyopadhyay, Hafizur Rahaman, All optical implementation of universal shift-register using terahertz optical asymmetric de-multiplexer based optical devices, 1-5, 2018 International Symposium on Devices, Circuits and Systems (ISDCS), 2018
  • 106 Arindam Sinharay, Subrata Das, Pranab Roy, Hafizur Rahaman, An angular steiner tree based global routing algorithm for graphene nanoribbon circuit, 670-681, International Symposium on VLSI Design and Test, 2018
  • 107 Anirban Bhattacharjee, Chandan Bandyopadhyay, Robert Wille, Rolf Drechsler, Hafizur Rahaman, A novel approach for nearest neighbor realization of 2D quantum circuits, 305-310, 2018 IEEE computer society annual symposium on VLSI (ISVLSI), 2018
  • 108 Dilip Maity, Surajit Roy, Chandan Giri, Hafizur Rahaman, Identification of faulty TSV with a built-in self-test mechanism, 1-6, 2018 IEEE 27th Asian Test Symposium (ATS), 2018
  • 109 Sourav Ghosh, Hafizur Rahaman, Chandan Giri, Test diagnosis of digital microfluidic biochips using image segmentation, 185-190, 2018 IEEE 27th Asian Test Symposium (ATS), 2018
  • 110 Kunal Sinha, Partha Sarathi Gupta, Sanatan Chattopadhyay, Hafizur Rahaman, Incorporation of tensile and compressive channel stress by modulating SiGe stressor length in embedded source/drain Si-FinFET architecture, 126-131, 2018 IEEE Electron Devices Kolkata Conference (EDKCON), 2018
  • 111 Lopamudra Banerjee, Arnab Mukhopadhyay, Partha Sarathi Gupta, Amretashis Sengupta, Hafizur Rahaman, Performance Analysis of Schottky Barrier Height Modulation in Strained (10, 0) MoS2 Armchair Nano Ribbon-Metal Junction, 1-4, 2018 IEEE Electron Devices Kolkata Conference (EDKCON), 2018
  • 112 Arnab Mukhopadhyay, Sandip Bhattacharya, Partha Sarathi Gupta, Lopamudra Banerjee, Amretashis Sengupta, Hafizur Rahaman, A Hybrid Atomistic - Semi-Analytical Modeling on Schottky Barrier Au-MoS2-Au MOSFETs, 46-50, 2018 IEEE Electron Devices Kolkata Conference (EDKCON), 2018
  • 113 A. K. Panigrahi, C. H. Kumar, T. Ghosh, S. R. K. Vanjari, S. G. Singh, Optimized ultra-thin Manganin alloy passivated fine-pitch damascene compatible Cu-Cu bonding at sub 200°C for 3D IC integration, 5th International Workshop on Low Temperature Bonding for 3D Integration (LTB-3D), Tokyo, 2017
  • 114 A. K. Panigrahi, T. Ghosh, S. R. K. Vanjari and S. G. Singh, Dual Damascene Compatible, Copper Rich Alloy Based Surface Passivation Mechanism for Achieving Cu-Cu Bonding at 150 Degree C for 3D IC Integration, IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, 2017
  • 115 SudiptaBasu Pal, D. Mukherjee, D.Paul, K.Das(Bhattacharya), Characterisation of PV Modules Employing SuperCapacitors--A Scalable Method for Field Metrology, International conference of Renewable Energy and Power Quality, Malaga, Spain, 2017
  • 116 Sudipta BasuPal,R. Kumar, K.DasBhattacharya,D.Mukherjee, A Reliability Estimate for a Roof-Top PV during early monsoon in Eastern India, Australasian Universities Power Engineering Conference 2017(AUPEC-2017) INSPEC, 2017
  • 117 Pranab Roy, Amiya Sahoo,Hafizur Rahaman, Adaptive Medical Detection System: An Iterative Averaging Method for Automated Detection Analysis using DMFBs, 1 - 6, Proc. of 7th IEEE International Symposium on Embedded Computing and Systems Design,Durgapur,India, 2017
  • 118 JoydipJana,Konika Das Bhattacharya, HiranmoySaha, Trends and challenges of next generation grid connected photovoltaic Inverters- An Overview, Australasian Universities Power Engineering Conference 2017(AUPEC-2017), 2017
  • 119 Joydip Jana, Konika Das Bhattacharya,, A smart technology enabled knowledge society-interfaced with the industry academia innovation platform, Proceedings of 2017 IEEE Region 10 Humanitarian Technology Conference (R10-HTC), Dacca, 2017
  • 120 24. Arindam Sinharoy, Pranab Roy, Hafizur Rahaman, Hausdorff Distance Driven L-shape Matching Based Layout Decomposition for E- Beam Lithography, 711, 287 - 295, 21st VLSI Design and Test Symposium ,Roorkee,Springer CCIS ,, 2017
  • 121 Arindam Sinharay, Pranab Roy, Hafizur Rahaman, Hausdorff Distance Driven L-Shape Matching Based Layout Decomposition for E-Beam Lithography, 287-295, VLSI Design and Test: 21st International Symposium, VDAT 2017, Roorkee, India, June 29–July 2, 2017, Revised Selected Papers 21, 2017
  • 122 Neha Chaudhuri, Chandan Bandyopadhyay, Hafizur Rahaman, Improving the Design of Nearest Neighbor Quantum Circuits in 2D Space, 421-426, VLSI Design and Test: 21st International Symposium, VDAT 2017, Roorkee, India, June 29–July 2, 2017, Revised Selected Papers 21, 2017
  • 123 Subhajit Chatterjee, Surajit Kr Roy, Chandan Giri, Hafizur Rahaman, Modeling and analysis of transient heat for 3D IC, 365-375, VLSI Design and Test: 21st International Symposium, VDAT 2017, Roorkee, India, June 29–July 2, 2017, Revised Selected Papers 21, 2017
  • 124 Bikash Sharma, Amab Mukhopadhyay, Lopamudra Banerjee, Amretashis Sengupta, Hafizur Rahaman, CK Sarkar, Effect of Ca(OH)2, hBN and Mg(OH)2 based insulators as composite oxides in magnetic tunnel junction memory device properties, 783-786, 2017 Devices for Integrated Circuit (DevIC), 2017
  • 125 Lopamudra Banerjee, Arnab Mukhopadhyay, Bikash Sharma, Amretashis Sengupta, Hafizur Rahaman, Performance analysis of 2D Graphene FET embedded with hexagonal boron nitride clusters, 491-494, 2017 Devices for Integrated Circuit (DevIC), 2017
  • 126 Sarosij Adak, Sanjit Kumar Swain, Hafizur Rahaman, Chandan Kumar Sarkar, Effect of doping in p-GaN gate on DC performances of AlGaN/GaN normally-off scaled HFETs, 372-375, 2017 Devices for Integrated Circuit (DevIC), 2017
  • 127 Amab Mukhopadhyay, Lopamudra Banerjee, Bikash Sharma, Amretashis Sengupta, Hafizur Rahaman, Chandan Kumar Sarkar, Computational study of Silicene-CNT double junctions, 446-450, 2017 Devices for Integrated Circuit (DevIC), 2017
  • 128 Arpan Manna, Subham Saha, Rakesh Das, Chandan Bandyopadhyay, Hafizur Rahaman, All optical design of cost efficient multiplier circuit using terahertz optical asymmetric demultiplexer, 1-5, 2017 7th International Symposium on Embedded Computing and System Design (ISED), 2017
  • 129 Bappaditya Mondal, Chandan Bandyopadhyay, Hafizur Rahaman, Detection and localization of appearance faults in reversible circuits, 1-5, 2017 7th International Symposium on Embedded Computing and System Design (ISED), 2017
  • 130 Soumyajit Poddar, Amit Kumar Yadav, Hafizur Rahaman, OTORNoC: Optical tree of rings network on chip for 1000 core systems, 1-5, 2017 7th International Symposium on Embedded Computing and System Design (ISED), 2017
  • 131 Sudeep Ghosh, Surajit Kumar Roy, Hafizur Rahaman, Chandan Giri, TSV repairing for 3D ICs using redundant TSV, 1-5, 2017 7th International Symposium on Embedded Computing and System Design (ISED), 2017
  • 132 Pranab Roy, Amiya Sahoo, Hafizur Rahaman, Adaptive medical detection system: An iterative averaging method for automated detection analysis using DMFBs, 1-6, 2017 7th International Symposium on Embedded Computing and System Design (ISED), 2017
  • 133 Panigrahi, Asisa Kumar; Bonam, Satish; Ghosh, Tamal; Vanjari, Siva Rama Krishna; Singh, Shiv Govind,, Low temperature, low pressure CMOS compatible Cu -Cu thermo-compression bonding with Ti passivation for 3D IC integration, Electronic Components and Technology Conference (ECTC) , 2015 IEEE 65th, 2016
  • 134 Supriyo Srimani, Kasturi Ghosh, Hafizur Rahaman, Parametric fault detection in analog circuits: A statistical approach, 275-280, IEEE 25th Asian Test Symposium (ATS), 2016
  • 135 A. K. Panigrahi, S. Bonam, T. Ghosh, S. R. K. Vanjari and S. G. Singh, High Quality Fine-Pitch Cu-Cu Wafer-on-Wafer Bonding with Optimized Ti Passivation at 1600C,, IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2016
  • 136 A. Kumar Panigrahi, S. Bonam, T. Ghosh, S. R. Krishna Vanjari and S. Govind Singh, Low temperature CMOS compatible Cu-Cu thermo-compression bonding with constantan alloy passivation for 3D IC integration, 2016 IEEE International 3D Systems Integration Conference (3DIC), San Francisco, CA, 2016
  • 137 Joydip Jana, HiranmaySamanta, Konika Das Bhattacharya and HiranmaySaha, A Four Stage Battery Charge Controller Working on a Novel Maximum Power Point Tracking Based Algorithm for Solar PV System, 21st Century Energy Needs Materials, Systems and Applications (ICTFCEN) , IIT KGP, 2016
  • 138 Arindam Sinharoy, Pranab Roy, Hafizur Rahaman, VLSI Thermal Placement issues:A cooperative game theory based approach, 106-111, 6 th IEEE International Symposium on Embedded Computing and Systems Design,Patna, 2016
  • 139 Pampa Howladar, Pranab Roy, Hafizur Rahaman, An Automated Design of Pin-Constrained Digital Microfluidic Biochip on MEDA Architecture, 1565-1570, IEEE Fourth International Symposium on Women in Computing and Informatics (WCI-ICACCI),Jaipur,India, 2016
  • 140 Arko Dutt, Pranab Roy, Hafizur Rahaman, TSV-Aware 3-D IC Structural Planning with Irregular Die-Size, 713 - 716, IEEE Asia Pacific Conference on Circuits & Systems,Jeju,Korea,, 2016
  • 141 Pranab Roy, Sudeshna Chakraborty, Hafizur Rahaman, Synthesis aware sample preparation techniques using random sample sets in DMFB, 1 - 6, Proc. of 20th IEEE International symposium on VLSI Design and Test,Guwahati,India, 2016
  • 142 Laxmidhar Biswal, Chandan Bandyopadhyay, Robert Wille, Rolf Drechsler, Hafizur Rahaman, Improving the realization of multiple-control toffoli gates using the ncvw quantum gate library, 573-574, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID), 2016
  • 143 Sandip Bhattacharya, Debaprasad Das, Hafizur Rahaman, Delay minimization of multilayer graphene nanoribbon based interconnect using wire sizing method, 1-6, 2016 International Conference on Microelectronics, Computing and Communications (MicroCom), 2016
  • 144 Sudipta Bardhan, Manodipan Sahoo, Hafizur Rahaman, Analytical study of BTE based multilayer GFET model, 1-6, 2016 International Conference on Microelectronics, Computing and Communications (MicroCom), 2016
  • 145 Rupam Bhattacharya, Hafizur Rahaman, Pranab Roy, A new heterogeneous droplet routing technique and its simulator to improve route performance in digital microfluidic biochips, 1-6, 2016 International conference on microelectronics, computing and communications (MicroCom), 2016
  • 146 Subhajit Das, Debaprasad Das, Hafizur Rahaman, Design of 9-transistor content addressable memory cells using Schottky-barrier carbon nanotube field effect transistors, 1-5, 2016 International Conference on Microelectronics, Computing and Communications (MicroCom), 2016
  • 147 Sudipta Bardhan, Manodipan Sahoo, Hafizur Rahaman, A verilog-a based semiclassical model for dual gated graphene field-effect transistor, 37-42, 2016 3rd International Conference on Devices, Circuits and Systems (ICDCS), 2016
  • 148 Sarosij Adak, Sanjit Kumar Swain, Godwin Raj, Hafizur Rahaman, Chandan Kumar Sarkar, Performance analysis of gate material engineering in enhancement mode n++GaN/InAlN/AlN/GaN HEMTs, 89-92, 2016 3rd International Conference on Devices, Circuits and Systems (ICDCS), 2016
  • 149 Manodipan Sahoo, Hafizur Rahaman, Impact of mutual inductance on the crosstalk induced effects in single-walled carbon nanotube bundle interconnects, 286-290, 2016 3rd International Conference on Devices, Circuits and Systems (ICDCS), 2016
  • 150 Anindita Chakraborty, Rakesh Das, Chandan Bandopadhyay, Hafizur Rahaman, Bdd based synthesis technique for design of high-speed memristor based circuits, 1-6, 2016 20th International Symposium on VLSI Design and Test (VDAT), 2016
  • 151 Pranab Roy, Sudeshna Chakraborty, Hafizur Rahaman, Synthesis aware sample preparation techniques using random sample sets in DMFB, 1-6, 2016 20th International Symposium on VLSI Design and Test (VDAT), 2016
  • 152 Sandip Bhattacharya, Debaprasad Das, Hafizur Rahaman, Temperature dependent IR-drop and delay analysis in side-contact multilayer graphene nanoribbon based power interconnects, 1-2, 2016 20th International Symposium on VLSI Design and Test (VDAT), 2016
  • 153 Anindita Chakraborty, Hafizur Rahaman, Implementation of combinational circuits via material implication using memristors, 67-72, 2016 IEEE Distributed Computing, VLSI, Electrical Circuits and Robotics (DISCOVER), 2016
  • 154 Rakesh Das, Chandan Bandyopadhyay, Hafizur Rahaman, All optical reversible design of Mach-Zehnder interferometer based Carry-Skip Adder, 73-78, 2016 IEEE Distributed Computing, VLSI, Electrical Circuits and Robotics (DISCOVER), 2016
  • 155 Malay Kule, Hafizur Rahaman, Bhargab B Bhattacharya, Reliable logic design with defective nano-crossbar architecture, 47-52, 2016 IEEE Distributed Computing, VLSI, Electrical Circuits and Robotics (DISCOVER), 2016
  • 156 Anindita Chakraborty, Aparna Dhara, Hafizur Rahaman, Design of memristor-based up-down counter using material implication logic, 269-274, 2016 International Conference on Advances in Computing, Communications and Informatics (ICACCI), 2016
  • 157 Chandan Bandyopadhyay, Shalini Parekh, Hafizur Rahaman, A synthesis approach for ESOP-based reversible circuit, 1741-1746, 2016 International Conference on Advances in Computing, Communications and Informatics (ICACCI), 2016
  • 158 Pampa Howladar, Pranab Roy, Hafizur Rahaman, An automated design of pin-constrained digital microfluidic biochip on MEDA architecture, 1565-1570, 2016 International Conference on Advances in Computing, Communications and Informatics (ICACCI), 2016
  • 159 Pampa Howladar, Debashri Roy, Pranab Roy, Hafizur Rahaman, Cross-reference EWOD driving scheme and cross-contamination aware net placement technique for MEDA based DMFBs, 614-619, 2016 International Conference on Advances in Computing, Communications and Informatics (ICACCI), 2016
  • 160 Bappaditya Mondal, Chandan Bandyopadhyay, Hafizur Rahaman, Online testing of smgf in esop based reversible circuit, 141-146, 2016 IEEE Students’ Technology Symposium (TechSym), 2016
  • 161 Subhajit Das, Debaprasad Das, Hafizur Rahaman, Design of content addressable memory cell using carbon nanotube field effect transistors, 131-135, 2016 IEEE Students’ Technology Symposium (TechSym), 2016
  • 162 Arko Dutt, Pranab Roy, Hafizur Rahaman, TSV-aware 3-D IC structural planning with irregular die-size, 713-716, 2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), 2016
  • 163 Supriyo Srimani, Kasturi Ghosh, Hafizur Rahaman, Parametric fault detection in analog circuits: A statistical approach, 275-280, 2016 IEEE 25th Asian Test Symposium (ATS), 2016
  • 164 Arindam Sinharay, Pranab Roy, Hafizur Rahaman, VLSI thermal placement issues: A cooperative game theory based approach, 106-111, 2016 Sixth International Symposium on Embedded Computing and System Design (ISED), 2016
  • 165 Malay Kule, Avik Dutta, Hafizur Rahaman, Bhargab B Bhattacharya, High-speed decoder design using memristor-based nano-crossbar architecture, 77-81, 2016 Sixth International Symposium on Embedded Computing and System Design (ISED), 2016
  • 166 Bappaditya Mondal, Chandan Bandyopadhyay, Hafizur Rahaman, A testing scheme for mixed-control based reversible circuits, 96-100, 2016 Sixth International Symposium on Embedded Computing and System Design (ISED), 2016
  • 167 Ghosh, Tamal; Krushnamurthy, E.; Subrahmanyam, Ch.; SivaRamaKrishna, V.; Dutta, A.; Singh, S G, Room temperature desorption of Self Assembled Monolayer from Copper surface for low temperature & low pressure thermocompression bonding, Electronic Components and Technology Conference (ECTC) , 2015 IEEE 65th, 2015
  • 168 Ghosh, Tamal; Gagan, G C; Dutta, Ashudeb; SivaRamaKrishna, Vanjari; Singh, Shiv Govind, Hybrid TTSV structure for heat mitigation and energy harvesting in 3D IC,, Electronic Components and Technology Conference (ECTC) , 2015 IEEE 65th, 2015
  • 169 Panigrahi, Asisa Kumar, Satish Bonam, Tamal Ghosh, Siva Rama Krishna Vanjari, and Shiv Govind Singh, Long term efficacy of ultra-thin Ti passivation layer for achieving low temperature, low pressure Cu-Cu Wafer-on-Wafer bonding, 3D Systems Integration Conference (3DIC), 2015 International, 2015
  • 170 S. Biswas, J.K Das, K. Das (Bhattacharya), Enhanced Role of Phasor Measurement Unit in Power System Adaptive Protection, ISGF, India Smart Grid Week, 2015
  • 171 T. Sarkar, K. Das(Bhattacharya), H. Saha, Development of Ramp-Rate Controller to Assure Voltage Stability for Weak Distribution Grid with High Penetration of Solar PV, 25th International Photovoltaic Science and Engineering Conference, 2015
  • 172 T. Sarkar, K. Das(Bhattacharya), H. Saha, Study of DC Microgrid Accompanying with Renewable Energy Sources for Rural Application, ISGF, India Smart Grid Week,2-5th March, Bangalore, 2015
  • 173 Pranab Roy, Khokan Mondal,Mayuri Kundu,Hafizur Rahaman, A New Sample Preparation Technique for Linear Dilution Gradient with Minimal Sample Utilization and Waste Generation in DMFBs, 205-210, Proc, of 2nd IEEE conference on Electrical Information and Communication Technology ,Khulna, Bangladesh, 2015
  • 174 31. Pranab Roy, Mriganka Chakrabarty, Aatreyi Bal, Hafizur Rahaman, Parthasarathi Dasgupta, Decision-based Biochips: A Novel Design for Concurrent Executionof Networked Bioassays integrated in Scalable DMFBs, 138 - 143, Proc, of 6th IEEE ASQED ,Kualalampur, Malaysia, 2015
  • 175 Kunal Sinha, Hafizur Rahaman, Sanatan Chattopadhyay, Investigation of the impact of embedded SiGe source/drain induced uniaxial stress on the performance of Si p-channel 3D FinFETs, 6th International Conference on Computers and Devices for Communication (CODEC-2015), Kolkata, India, 2015
  • 176 Eleonora Schönborn, Kamalika Datta, Robert Wille, Indranil Sengupta, Hafizur Rahaman, Rolf Drechsler, BDD-based synthesis for all-optical Mach-Zehnder interferometer circuits, 435-440, 2015 28th International Conference on VLSI Design, 2015
  • 177 Pratik Dutta, Chandan Bandyopadhyay, Hafizur Rahaman, All optical implementation of Mach-Zehnder Interferometer based reversible sequential counters, 232-237, 2015 28th International Conference on VLSI Design, 2015
  • 178 Sudip Ghosh, Subhojit Chatterjee, Santi P Maity, Hafizur Rahaman, A new algorithm on wavelet based robust invisible digital image watermarking for multimedia security, 72-77, 2015 International Conference on Electronic Design, Computer Networks & Automated Verification (EDCAV), 2015
  • 179 Surajit Kumar Roy, Kaustav Roy, Chandan Giri, Hafizur Rahaman, Recovery of faulty TSVs in 3D ICs, 533-536, Sixteenth International Symposium on Quality Electronic Design, 2015
  • 180 Pranab Roy, Pampa Howladar, Raja Dastidar, Hafizur Rahaman, Parthasarathi Dasgupta, 3D integration in biochips: New proposed architectures for 3D applications in ATDA based digital microfluidic biochips, 1-6, 2015 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), 2015
  • 181 Joyati Mondal, Bappaditya Mondal, Dipak Kole, Hafizur Rahaman, Debesh K Das, Boolean difference technique for detecting all missing gate faults in reversible circuits, 95-98, 2015 IEEE 18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2015
  • 182 Arnab Mukhopadhyay, Lopamudra Banerjee, Amretashis Sengupta, Hafizur Rahaman, Strain modulated variations in monolayer phosphorene n-MOSFET, 261-264, 2015 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), 2015
  • 183 Suraj Gupta, Sabir Ali Mondal, Hafizur Rahaman, Improved supply regulation and temperature compensated current reference circuit with low process variations, 1-6, 2015 19th International Symposium on VLSI Design and Test, 2015
  • 184 Sudip Ghosh, Nachiketa Das, Subhajit Das, Santi Prasad Maity, Hafizur Rahaman, An adaptive feedback based reversible watermarking algorithm using difference expansion, 207-212, 2015 IEEE 2nd International Conference on Recent Trends in Information Systems (ReTIS), 2015
  • 185 Tanusree Kaibartta, Chandan Giri, Hafizur Rahaman, Debesh K Das, Optimizing test time for core-based 3-D integrated circuits by genetic algorithm, 62-67, 2015 6th Asia Symposium on Quality Electronic Design (ASQED), 2015
  • 186 Pranab Roy, Mriganka Chakraborty, Aatreyi Bal, Hafizur Rahaman, Parthasarathi Dasgupta, Decision-based Biochips: A novel design for concurrent execution of networked bioassays integrated in scalable DMFBs, 138-143, 2015 6th Asia Symposium on Quality Electronic Design (ASQED), 2015
  • 187 Soumyajit Poddar, Prasun Ghosal, Hafizur Rahaman, Adaptive CDMA based multicast method for photonic networks on chip, 298-303, 2015 28th IEEE International System-on-Chip Conference (SOCC), 2015
  • 188 Suraj Gupta, Sabir Ali Mondal, Hafizur Rahaman, Charge pump circuit with improved absolute current deviation and increased dynamic output voltage range across PVT variations, 32-35, 2015 IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia), 2015
  • 189 Sudip Ghosh, Sayandip De, Santi Prasad Maity, Hafizur Rahaman, A novel dual purpose spatial domain algorithm for digital image watermarking and cryptography using Extended Hamming Code, 167-172, 2015 2nd International Conference on Electrical Information and Communication Technologies (EICT), 2015
  • 190 Manodipan Sahoo, Hafizur Rahaman, Modeling of crosstalk induced overshoot/undershoot effects in Multilayer Graphene Nanoribbon Interconnects, 416-421, 2015 2nd International Conference on Electrical Information and Communication Technologies (EICT), 2015
  • 191 Pranab Roy, Khokan Mondal, Mayuri Kundu, Hafizur Rahaman, A new sample preparation technique for linear dilution gradient with minimal sample utilization and waste generation in DMFBs, 205-210, 2015 2nd International Conference on Electrical Information and Communication Technologies (EICT), 2015
  • 192 Sudipta Bardhan, Manodipan Sahoo, Hafizur Rahaman, Analytical drain current model for graphene metal-oxide semiconductor field-effect transistor, 422-427, 2015 2nd International Conference on Electrical Information and Communication Technologies (EICT), 2015
  • 193 Subhojit Chatterjee, Hafizur Rahaman, Development of AGC and channel equalization algorithm for multi-channel RF system in FPGA, 1-4, 2015 6th International Conference on Computers and Devices for Communication (CODEC), 2015
  • 194 Manas Kumar Parai, Kasturi Ghosh, Hafizur Rahaman, Fault detection of continuous time filter using nonlinear feedback based OBIST, 1-4, 2015 6th International Conference on Computers and Devices for Communication (CODEC), 2015
  • 195 Sayan Kanungo, Hafizur Rahaman, Sanatan Chattopadhyay, Investigating the performance of short gate insulator less dielectrically modulated tunnel field effect transistor based bio-sensors, 1-4, 2015 6th international conference on computers and devices for communication (CODEC), 2015
  • 196 Sudip Ghosh, Sambaran Hazra, Santi P Maity, Hafizur Rahaman, A new algorithm for grayscale image histogram computation, 1-6, 2015 Annual IEEE India Conference (INDICON), 2015
  • 197 Ghosh, T.; Krishna, V.S.R.; Singh, S.G, Low temperature Cu-Cu thermocompression bonding assisted by electrochemical desorption of a self-assembled monolayer, 2014 IEEE 2nd International Conference onEmerging Electronics (ICEE), 2014
  • 198 Chandan Bandyopadhyay, Hafizur Rahaman, Synthesis of ESOP-based reversible logic using positive polarity reed-muller form, 363-376, Emerging Trends in Computing and Communication: ETCC 2014, March 22-23, 2014, 2014
  • 199 Pranab Roy, Samadrita Bhattacharya, Rupam Bhattacharyay, Firdousi Jamil Imam, Hafizur Rahaman, Parthasarathi Dasgupta, A novel wire planning technique for optimum pin utilization in digital microfluidic biochips, 510-515, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems, 2014
  • 200 Manodipan Sahoo, Prasun Ghosal, Hafizur Rahaman, An ABCD parameter based modeling and analysis of crosstalk induced effects in multiwalled carbon nanotube bundle interconnects, 433-438, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems, 2014
  • 201 Soumya Jyoti Roy, Kamalika Datta, Chandan Bandyopadhyay, Hafizur Rahaman, A transformation based heuristic synthesis approach for reversible circuits, 1-5, 2014 International Conference on Advances in Electrical Engineering (ICAEE), 2014
  • 202 Sudipta Bardhan, Hafizur Rahaman, Analysis of design-oriented compact model for zigzag semiconducting CNTFETs, 1-4, International Conference on Electronics, Communication and Instrumentation (ICECI), 2014
  • 203 Manodipan Sahoo, Hafizur Rahaman, Modeling of crosstalk induced effects in nanoscale copper interconnects, 1-6, 2013 International Conference on Electrical Information and Communication Technology (EICT), 2014
  • 204 Sudip Ghosh, Bijoy Kundu, Debopam Datta, Santi P Maity, Hafizur Rahaman, Design and implementation of fast FPGA based architecture for reversible watermarking, 1-6, 2013 International Conference on Electrical Information and Communication Technology (EICT), 2014
  • 205 Surajit Roy, Payel Ghosh, Hafizur Rahaman, Chandan Giri, Session based core test scheduling for minimizing the testing time of 3D SoC, 1-5, 2014 International Conference on Electronics and Communication Systems (ICECS), 2014
  • 206 Chandan Bandyopadhyay, Soumya Jyoti Roy, Laxmidhar Biswal, Hafizur Rahaman, Synthesis of ESOP-based reversible logic using negative polarity reed-muller form, 286-291, Proceedings of the 2014 IEEE Students' Technology Symposium, 2014
  • 207 Subhajit Das, Sandip Bhattacharya, Debaprasad Das, Hafizur Rahaman, RF performance analysis of graphene nanoribbon interconnect, 105-110, Proceedings of the 2014 IEEE Students' Technology Symposium, 2014
  • 208 Sabir Ali Mondal, Sourav Pal, Manodipan Sahoo, Pradip Mondal, Hafizur Rahaman, A new feedback circuit based charge-pump for wide-range and low-jitter DLL suitable for PET imaging applications, 1-5, 2014 2nd International Conference on Devices, Circuits and Systems (ICDCS), 2014
  • 209 Sandip Bhattacharya, Subhajit Das, Debaprasad Das, Hafizur Rahaman, Electrical transport in graphene nanoribbon interconnect, 1-4, 2014 2nd International Conference on Devices, Circuits and Systems (ICDCS), 2014
  • 210 Eleonora Schönborn, Kamalika Datta, Robert Wille, Indranil Sengupta, Hafizur Rahaman, Rolf Drechsler, Optimizing DD-based synthesis of reversible circuits using negative control lines, 129-134, 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014
  • 211 Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta, A layout based customized testing technique for total microfluidic operations in digital microfluidic biochips, 122-128, 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014
  • 212 Chandan Bandyopadhyay, Hafizur Rahaman, Rolf Drechsler, A cube pairing approach for synthesis of ESOP-based reversible circuit, 109-114, 2014 IEEE 44th International Symposium on Multiple-Valued Logic, 2014
  • 213 K. Bhattacharya et al, A simple cost-effective method of characterizing PV Cells/Modules using Supercapacitor, The 6th World Conference on Photovoltaic Energy Conversion, (WCPEC-6), Kyoto, Japan, 2014
  • 214 Manodipan Sahoo, Hafizur Rahaman, An ABCD parameter based modeling and analysis of crosstalk induced effects in Multilayer Graphene Nano Ribbon interconnects, 1138-1142, 2014 IEEE International symposium on circuits and systems (ISCAS), 2014
  • 215 K. Bhattacharya et al, Application of Phasor Measurement Unit in Adaptive Protection for Loss of Excitation in a Generator, 6th IEEE POWER INDIA International Conference, PIICON 2014, 2014
  • 216 Pranab Roy, Aatreyi Bal, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, Automated two stage detection and analyzer system in multipartitioned Digital Microfluidic Biochips, 1836-1840, 2014 IEEE International Symposium on Circuits and Systems (ISCAS), 2014
  • 217 K. Bhattacharya et al, Design& Implementation of MPPT Algorithm for Battery Charging with Photovoltaic Panel Using FPGA, 6th IEEE POWER INDIA International Conference, PIICON 2014, 2014
  • 218 Pranab Roy, Aatreyi Bal, Tamosa Chakraborty, Mriganka Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta, Optical detection in Biochips: A fuzzy based detection analyzer for homogeneous samples in DMFBs, 551-556, The 4th Annual IEEE International Conference on Cyber Technology in Automation, Control and Intelligent, 2014
  • 219 Pratik Dutta, Chandan Bandyopadhyay, Chandan Giri, Hafizur Rahaman, Mach-Zehnder interferometer based all optical reversible carry-lookahead adder, 412-417, 2014 IEEE Computer Society Annual Symposium on VLSI, 2014
  • 220 Surajit Kumar Roy, Payel Ghosh, Hafizur Rahaman, Chandan Giri, Session based core test scheduling for 3D SOCs, 196-201, 2014 IEEE Computer Society Annual Symposium on VLSI, 2014
  • 221 Indrajit Das, Manodipan Sahoo, Pranab Roy, Hafizur Rahaman, A 45 uW 13 pJ/conv-step 7.4-ENOB 40 kS/s SAR ADC for digital microfluidic biochip applications, 1-6, 18th International Symposium on VLSI Design and Test, 2014
  • 222 Pratik Dutta, Chandan Bandyopadhyay, Hafizur Rahaman, All optical implementation of Mach-Zehnder interferometer based reversible sequential circuit, 1-2, 18th International Symposium on VLSI Design and Test, 2014
  • 223 Manjari Pradhan, Debesh K Das, Chandan Giri, Hafizur Rahaman, Optimizing test time for core-based 3-d integrated circuits by a technique of bi-partitioning, 1-4, Proceedings of IEEE East-West Design & Test Symposium (EWDTS 2014), 2014
  • 224 Pranab Roy, Samadrita Bhattacharya, Hafizur Rahaman, Parthasarathi Dasgupta, A new technique for layout based functional testing of modules in Digital Microfluidic Biochips, 1-6, Proceedings of IEEE East-West Design & Test Symposium (EWDTS 2014), 2014
  • 225 Bappaditya Mondal, Dipak Kumar Kole, Debesh Kumar Das, Hafizur Rahaman, Generator for test set construction of smgf in reversible circuit by boolean difference method, 68-73, 2014 IEEE 23rd Asian test symposium, 2014
  • 226 Sudip Ghosh, Nachiketa Das, Subhajit Das, Santi P Maity, Hafizur Rahaman, FPGA and SoC based VLSI architecture of reversible watermarking using rhombus interpolation by difference expansion, 1-6, 2014 Annual IEEE India Conference (INDICON), 2014
  • 227 Pranab Roy, Tamosa chakraborty, Hafizur Rahaman,Parthasarathi Dasgupta, Multilevel homogeneous detection analyzer for medical diagnostic application in Digital Microfluidic Biochips, 73 - 78, Proc. of IEEE International symposium of electronic system design,Suratkal, 2014
  • 228 Sudip Ghosh, Arijit Biswas, Santi P Maity, Hafizur Rahaman, Design of a low complexity and fast hardware architecture for digital image watermarking in FWHT domain on FPGA, 68-72, 2014 Fifth International Symposium on Electronic System Design, 2014
  • 229 Pranab Roy, Tamosa Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta, Multilevel homogeneous detection analyzer for medical diagnostic application in Digital Microfluidic Biochips, 73-78, 2014 Fifth International Symposium on Electronic System Design, 2014
  • 230 Pranab Roy, Aatreyi Bal, Tamosa Chakraborty, Mriganka Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta,, Optical detection in Biochips: A fuzzy based detection analyzer for homogeneous samples in DMFBs, 551 - 556, Proc. of IEEE CYBER,Hongkong,China, 2014
  • 231 Manodipan Sahoo, Hafizur Rahaman, Impact of line resistance variations on crosstalk delay and noise in multilayer graphene nano ribbon interconnects, 94-98, 2014 Fifth International Symposium on Electronic System Design, 2014
  • 232 Bappaditya Mondal, Chandan Bandyopadhyay, Dipak Kumar Kole, Jimson Mathew, Hafizur Rahaman, Diagnosis of SMGF in ESOP based reversible logic circuit, 89-93, 2014 Fifth International Symposium on Electronic System Design, 2014
  • 233 35. Pranab Roy, Samadrita Bhattacharya, Hafizur Rahaman, Parthasarathi Dasgupta, A new technique for layout based customized functional testing of modules in Digital Microfluidic Biochips, 1 - 6, Proc. of IEEE EWDTS,Kiev,Ukraine, 2014
  • 234 Sandip Bhattacharya, Debaprasad Das, Hafizur Rahaman, A novel GNR interconnect model to reduce crosstalk delay, 5-9, 2014 Fifth International Symposium on Electronic System Design, 2014
  • 235 Pranab Roy, Aatreyi Bal, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, Automated two stage detection and analyzer system in Multipartitioned Digital Microfluidic Biochips, 1836 -1840, Proc. of IEEE, ISCAS ,Melbourne, Australia, 2014
  • 236 Sudip Ghosh, Arijit Biswas, Santi P Maity, Hafizur Rahaman, Design of an improved algorithm for blind digital image watermarking using both grayscale and binary watermark in DFWHT domain, 112-115, 8th International Conference on Electrical and Computer Engineering, 2014
  • 237 Sudip Ghosh, Nachiketa Das, Subhajit Das, Santi P Maity, Hafizur Rahaman, Digital design and pipelined architecture for reversible watermarking based on difference expansion using FPGA, 123-128, 2014 International Conference on Information Technology, 2014
  • 238 Indrajit Das, Manodipan Sahoo, Pranab Roy, Hafizur Rahaman, A 45 uW 13 pJ/conv-step 7.4-ENOB 40 kS/s SAR ADC for digital microfluidic biochip applications, 1 - 6, VDAT,2014, 2014
  • 239 Pranab Roy,Hafizur Rahaman,Parthasarathi Dasgupta, A layout based customized testing technique for total microfluidic operations in Digital Microfluidic Biochips, 122-128, Proc. of IEEE,DDECS,Warsaw,Poland, 2014
  • 240 Pranab Roy, Samadrita Bhattacharya,Rupam Bhattacharya,Firdousi Jamil Imam,Hafizur Rahaman,Parthasarathi Dasgupta, A novel wire planning technique for optimum pin utilization in Digital Microfluidic Biochips, 510 -515, Proc. of 27th IEEE International conference of VLSI Design,Mumbai,India, 2014
  • 241 Patel, S.B.; Ghosh, T.; Dutta, A.; Singh, S, Stress analysis in 3D IC having Thermal Throug Silicon Vias (TTSV), Electronic Components and Technology Conference (ECTC), 2013
  • 242 Arighna Deb, Debesh K Das, Hafizur Rahaman, Bhargab B Bhattacharya, Robert Wille, Rolf Drechsler, Reversible circuit synthesis of symmetric functions using a simple regular structure, 182-195, Reversible Computation: 5th International Conference, RC 2013, Victoria, BC, Canada, July 4-5, 2013. Proceedings 5, 2013
  • 243 Kamalika Datta, Gaurav Rathi, Robert Wille, Indranil Sengupta, Hafizur Rahaman, Rolf Drechsler, Exploiting negative control lines in the optimization of reversible circuits, 209-220, Reversible Computation: 5th International Conference, RC 2013, Victoria, BC, Canada, July 4-5, 2013. Proceedings 5, 2013
  • 244 Joyati Mondal, Debesh Kumar Das, Dipak Kole, Hafizur Rahaman, Bhargab B Bhattacharya, On designing testable reversible circuits using gate duplication, 322-329, VLSI Design and Test: 17th International Symposium, VDAT 2013, Jaipur, India, July 27-30, 2013, 2013
  • 245 Pranab Roy, Samadrita Bhattacharya, Hafizur Rahaman, Parthasarathi Dasgupta, A New Method for Route Based Synthesis and Placement in Digital Microfluidic Biochips, 361-375, VLSI Design and Test: 17th International Symposium, VDAT 2013, Jaipur, India, July 27-30, 2013, 2013
  • 246 Soumyajit Chatterjee, Hafizur Rahaman, Tuhina Samanta, Multi-objective optimization algorithm for efficient pin-constrained droplet routing technique in digital microfluidic biochip, 252-256, International Symposium on Quality Electronic Design (ISQED), 2013
  • 247 Kamalika Datta, Vishal Shrivastav, Indranil Sengupta, Hafizur Rahaman, Reversible logic implementation of AES algorithm, 140-144, 2013 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), 2013
  • 248 Pranab Roy, Pampa Howladar, Rupam Bhattacharjee, Hafizur Rahaman, Parthasarathi Dasgupta, A new cross contamination aware routing method with intelligent path exploration in digital microfluidic biochips, 50-55, 2013 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), 2013
  • 249 Prasenjit Chanak, Indrajit Banerjee, Hafizur Rahaman, Distributed multipath fault tolerance routing scheme for wireless sensor networks, 241-247, 2013 Third International Conference on Advanced Computing and Communication Technologies (ACCT), 2013
  • 250 Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, Automated parallel detection based analyzer for integrated bioassays in digital microfluidic biochip, 310-315, 2013 IEEE XXXIII International Scientific Conference Electronics and Nanotechnology (ELNANO), 2013
  • 251 Manodipan Sahoo, Hafizur Rahaman, Performance analysis of multiwalled carbon nanotube bundles, 200-204, 2013 IEEE XXXIII International Scientific Conference Electronics and Nanotechnology (ELNANO), 2013
  • 252 Sudip Ghosh, Somsubhra Talapatra, Navonil Chatterjee, Nagakumar Reddy, Santi P Maity, Hafizur Rahaman, Multiplier-less VLSI architecture of 1-D Hilbert transform pair using Biorthogonal Wavelets, 1-6, 2013 International Conference on Informatics, Electronics and Vision (ICIEV), 2013
  • 253 Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, Digital microfluidic system: A new design for heterogeneous sample based integration of multiple DMFBs, 1905-1909, 2013 IEEE International Symposium on Circuits and Systems (ISCAS), 2013
  • 254 Pranab Roy, Hafizur Rahaman, Parthasarathi Gupta, Parthasarathi Dasgupta, A new customized testing technique using a novel design of droplet motion detector for digital microfluidic biochip systems, 897-902, 2013 International Conference on Advances in Computing, Communications and Informatics (ICACCI), 2013
  • 255 Sourav Chakraborty, Manodipan Sahoo, Hafizur Rahaman, A 1.8 V 64.9 uW 54.1 dB SNDR 1storder sigma-delta modulator design using clocked comparator Based Switched Capacitor technique, 220-226, Fifth Asia Symposium on Quality Electronic Design (ASQED 2013), 2013
  • 256 Manodipan Sahoo, Prasun Ghosal, Hafizur Rahaman, An ABCD parameter-based modeling and analysis of crosstalk induced effects in single-walled carbon nanotube bundle interconnects, 264-273, Fifth Asia Symposium on Quality Electronic Design (ASQED 2013), 2013
  • 257 Surajit Kumar Roy, Sobitri Chatterjee, Chandan Giri, Hafizur Rahaman, Repairing of faulty TSVs using available number of multiplexers in 3D ICs, 155-160, Fifth Asia Symposium on Quality Electronic Design (ASQED 2013), 2013
  • 258 Kamalika Datta, Bhadreswar Ghuku, Devi Sandeep, Indranil Sengupta, Hafizur Rahaman, A cycle based reversible logic synthesis approach, 316-319, 2013 Third International Conference on Advances in Computing and Communications, 2013
  • 259 Sudip Ghosh, Santi P Maity, Hafizur Rahaman, Multiplier-less VLSI architecture of 1-D Hilbert transform pair using Biorthogonal Wavelets for QCM-SS image watermarking, 5-10, 2013 4th International Conference on Computer and Communication Technology (ICCCT), 2013
  • 260 Joyati Mondal, Debesh K Das, Dipak K Kole, Hafizur Rahaman, A design for testability technique for quantum reversible circuits, 1-4, East-West Design & Test Symposium (EWDTS 2013), 2013
  • 261 Surajit Kumar Roy, Sobitri Chatterjee, Chandan Giri, Hafizur Rahaman, Faulty tsvs identification and recovery in 3d stacked ics during pre-bond testing, 1-6, 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013
  • 262 Manjari Pradhan, Chandan Giri, Hafizur Rahaman, Debesh K Das, Optimal stacking of SOCs in a 3D-SIC for post-bond testing, 1-5, 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013
  • 263 Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, An intelligent Biochip System for Diagnostic Process Flow based Integration of Combined Detection Analyzer, 108-112, 2013 International Symposium on Electronic System Design, 2013
  • 264 Manodipan Sahoo, Hafizur Rahaman, Bhargab Bhattacharya, Impact of inductance on the performance of single walled carbon nanotube bundle interconnects, 16-20, 2013 International Symposium on Electronic System Design, 2013
  • 265 Soumyajit Poddar, Prasun Ghosal, Priyajit Mukherjee, Suman Samui, Hafizur Rahaman, An area and power efficient dynamic TDMA based photonic network on chip, 113-117, 2013 International Symposium on Electronic System Design, 2013
  • 266 Chandan Bandyopadhyay, Debashri Roy, Dipak K Kole, Kamalika Datta, Hafizur Rahaman, ESOP-based synthesis of reversible circuit using improved cube list, 26-30, 2013 International Symposium on Electronic System Design, 2013
  • 267 Manodipan Sahoo, Hafizur Rahaman, Modeling of crosstalk delay and noise in single-walled carbon nanotube bundle interconnects, 1-6, 2013 Annual IEEE India Conference (INDICON), 2013
  • 268 Kamalika Datta, Indranil Sengupta, Hafizur Rahaman, Rolf Drechsler, An evolutionary approach to reversible logic synthesis using output permutation, 1-6, 2013 8th IEEE Design and Test Symposium, 2013
  • 269 Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, Novel designs of digital detection analyzer for intelligent detection and analysis in digital microfluidic biochips, 1-6, 2013 8th IEEE Design and Test Symposium, 2013
  • 270 Surajit Kumar Roy, Chandan Giri, Hafizur Rahaman, Optimizing test architecture of 3D stacked ICs for partial stack/complete stack using hard SoCs, 1-3, 2013 8th IEEE Design and Test Symposium, 2013
  • 271 Surajit Kumar Roy, Joy Sankar Sengupta, Chandan Giri, Hafizur Rahaman, Power constraints test scheduling of 3d stacked ics, 1-6, 2013 8th IEEE Design and Test Symposium, 2013
  • 272 Pranab Roy, Aatreyi Bal, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, Feedback based automated detection analysis in Digital Microfluidic Biochip Systems, 1-6, 2013 International Conference on Control, Automation, Robotics and Embedded Systems (CARE), 2013
  • 273 Pranab Roy, ,Aatreyi Bal, Mahua Raha Patra ,Hafizur Rahaman,Parthasarathi Dasgupta, Feedback based automated detection analysis in Digital Microfluidic Biochip Systems, 1 - 6, Proc. of IEEE International Conference on Control, Automation, Robotics and Embedded systems (CARE-2013),Jabalpur, 2013
  • 274 Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, An intelligent Biochip System for Diagnostic Process Flow based Integration of Combined Detection Analyzer, 108 -112, Proc. of IEEE ISED,Singapore, 2013
  • 275 Pranab Roy, Parthasarathi Gupta, Hafizur Rahaman, Parthasarathi Dasgupta, A new customized testing technique using a novel design of droplet motion detector for digital microfluidic Biochip systems, 897-902, Proc of IEEE ICACCI, Mysore ,India, 2013
  • 276 43. Pranab Roy,Rupam Bhattacharya,Pampa Howladar,Hafizur Rahaman,Parthasarathi Dasgupta, A new cross contamination aware routing method with intelligent path exploration in Digital Microfluidic Biochips, 50 - 55, Proc. Of IEEE DTIS Conference 2013, Abu Dhabi,UAE, 2013
  • 277 Pranab Roy, Samadrita Bhattacharya, Rupam Bhattacharya, Hafizur Rahaman,Parthasarathi Dasgupta, A new method for route based synthesis and placement in Digital Microfluidic Biochips, 361-375, Proc. Of VDAT , Springer CCIS, Jaipur ,India,, 2013
  • 278 Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, Digital Microfluidic System:A new design for heterogeneous sample based integration of multiple DMFBs, 1905-1909, Proc. of IEEE, ISCAS ,Beijing, China, 2013
  • 279 1. Ghosh, T.; Dutta, A.; Lingareddy, E.; Subrahmanyam, C.; Singh, S.G, Room temperature desorption of Self Assembly Monolayer (SAM) passivated Cu for lowering the process temperature Cu-Cu bonding of 3-D ICs, 2012 International Conference on Emerging Electronics (ICEE), 2012
  • 280 Soumyajit Poddar, Prasun Ghosal, Priyajit Mukherjee, Suman Samui, Hafizur Rahaman, A photonic network on chip with CDMA links, 377-378, Progress in VLSI Design and Test: 16th International Symposium, VDAT, 2012, 2012
  • 281 Debaprasad Das, Avisek Sinha Roy, Hafizur Rahaman, Design of content addressable memory architecture using carbon nanotube field effect transistors, 233-242, Progress in VLSI Design and Test: 16th International Symposium, VDAT 2012, 2012
  • 282 Sudip Ghosh, Somsubhra Talapatra, Debasish Mondal, Navonil Chatterjee, Hafizur Rahaman, Santi P Maity, VLSI architecture for spatial domain spread spectrum image watermarking using gray-scale watermark, 375-376, Progress in VLSI Design and Test: 16th International Symposium, VDAT 2012, 2012
  • 283 Hafizur Rahaman, Jimson Mathew, Abusaleh M Jabir, Dhiraj K Pradhan, VLSI Architecture for Bit Parallel Systolic Multipliers for Special Class of GF(2 m )Using Dual Bases, 258-269, 16th International Symposium, VDAT 2012, 2012
  • 284 Surajit Kumar Roy, Dona Roy, Chandan Giri, Hafizur Rahaman, Post-bond stack testing for 3D stacked iC, 59-68, 16th International Symposium, VDAT 2012, 2012
  • 285 Debaprasad Das, Hafizur Rahaman, Delay uncertainty in single-and multi-wall carbon nanotube interconnects, 289-299, 16th International Symposium, VDAT 2012, 2012
  • 286 Partha Sarathi Gupta, Sayan Kanungo, Hafizur Rahaman, Partha Sarathi Dasgupta, Simulation study of an ultra thin body silicon on insulator tunnel field effect transistor, 379-380, 16th International Symposium, VDAT 2012, 2012
  • 287 Prasun Ghosal, Hafizur Rahaman, Satrajit Das, Arindam Das, Parthasarathi Dasgupta, Obstacle aware routing in 3D integrated circuits, 451-460, Advanced Computing, Networking and Security: International Conference, ADCONS 2011, 2012
  • 288 Kamalika Datta, Gaurav Rathi, Indranil Sengupta, Hafizur Rahaman, Synthesis of reversible circuits using heuristic search method, 328-333, 2012 25th International Conference on VLSI Design, 2012
  • 289 Ritwik Mukherjee, Hafizur Rahaman, Indrajit Banerjee, Tuhina Samanta, Parthasarathi Dasgupta, A heuristic method for co-optimization of pin assignment and droplet routing in digital microfluidic biochip, 227-232, 2012 25th International Conference on VLSI Design, 2012
  • 290 Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta, A novel high performance routing technique for Cross-referencing DMFBs, 44-49, 2012 International Conference on Biomedical Engineering (ICoBE), 2012
  • 291 Partha Sarathi Gupta, Hafizur Rahaman, Sayan Kanungo, Partha Sarathi Dasgupta, Analysis and study of different parameters affecting the I–V characteristics of tunnel-FET transistor, 89-93, 2012 International Conference on Devices, Circuits and Systems (ICDCS), 2012
  • 292 Partha Sarathi Gupta, Sayan Kanungo, Hafizur Rahaman, Partha Sarathi Dasgupta, A novel design technique for effective SCE control in nano-scaled devices using a buried metal, 761-765, 2012 International Conference on Computing, Electronics and Electrical Technologies (ICCEET), 2012
  • 293 Pranab Roy, Hafizur Rahaman, Chandan Giri, Parthasarathi Dasgupta, Modelling, detection and diagnosis of multiple faults in cross referencing DMFBs, 1107-1112, 2012 International Conference on Informatics, Electronics & Vision (ICIEV), 2012
  • 294 Prasun Ghosal, Sunita Choudhuri, Hafizur Rahaman, Diametric mesh of tree (DiaMoT) routing framework for high performance NoCs: A hierarchical approach, 532-537, 2012 IEEE 14th International Conference on High Performance Computing and Communication & 2012 IEEE 9th International Conference on Embedded Software and Systems, 2012
  • 295 Debaprasad Das, Hafizur Rahaman, Unified model for analyzing timing delay and crosstalk effects in carbon nanotube interconnects, 100-109, 2012 4th Asia Symposium on Quality Electronic Design (ASQED), 2012
  • 296 Pranab Roy, Sudipta Chakraborty, Moudud Sohid, Hafizur Rahaman, Parthasarathi Dasgupta, A new digital analyzer for optically detected samples in Digital Microfluidic Biochips, 462-465, 2012 IEEE 55th International Midwest Symposium on Circuits and Systems (MWSCAS), 2012
  • 297 Debjani Basu, Dipak K Kole, Hafizur Rahaman, implementation of AES algorithm in UART module for secured data transfer, 142-145, 2012 International Conference on Advances in Computing and Communications, 2012
  • 298 Oyshee Brotee Sahoo, Dipak K Kole, Hafizur Rahaman, An optimized S-box for advanced encryption standard (AES) design, 154-157, 2012 International Conference on Advances in Computing and Communications, 2012
  • 299 Sudip Ghosh, Somsubhra Talapatra, Debasish Mondal, Navonil Chatterjee, Hafizur Rahaman, Santi P Maity, VLSI Architecture for Spread Spectrum Image Watermarking Using Binary Watermark, 166-169, 2012 International Conference on Advances in Computing and Communications, 2012
  • 300 Pranab Roy, Rupam Bhattacharjee, Hafizur Rahaman, Parthasarathi Dasgupta, A new algorithm for routing-aware net placement in cross-referencing digital microfluidic biochips, 320-325, 2012 IEEE computer society annual symposium on VLSI, 2012
  • 301 Sanga Chaki, Chandan Giri, Hafizur Rahaman, Binary difference based test data compression for noc based socs, 114-119, 2012 IEEE Computer Society Annual Symposium on VLSI, 2012
  • 302 Debaprasad Das, Hafizur Rahaman, Simultaneous switching noise and IR drop in graphene nanoribbon power distribution networks, 1-6, 2012 12th IEEE International Conference on Nanotechnology (IEEE-NANO), 2012
  • 303 Debasis Mitra, Sarmishtha Ghoshal, Hafizur Rahaman, Krishnendu Chakrabarty, Bhargab B Bhattacharya, Automated path planning for washing in digital microfluidic biochips, 115-120, 2012 IEEE International Conference on Automation Science and Engineering (CASE), 2012
  • 304 Tuhina Samanta, Hafizur Rahaman, Parthasarathi Dasgupta, Partitioning-based wirelength estimation technique for Y-routing, 1-6, 2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI), 2012
  • 305 Soumyajit Poddar, Prasun Ghosal, Priyajit Mukherjee, Suman Samui, Hafizur Rahaman, Design of an NoC with on-chip photonic interconnects using adaptive CDMA links, 352-357, 2012 IEEE International SOC Conference, 2012
  • 306 Pranab Roy, Hafizur Rahaman, Parthasarthi Dasgupta, Bhargab BB Bhattacharya, A new look ahead technique for customized testing in digital microfluidic biochips, 25-30, 2012 IEEE 21st Asian Test Symposium, 2012
  • 307 Debasis Mitra, Sarmishtha Ghoshal, Hafizur Rahaman, Krishnendu Chakrabarty, Bhargab B Bhattacharya, On-line error detection in digital microfluidic biochips, 332-337, 2012 IEEE 21st Asian Test Symposium, 2012
  • 308 Sudip Ghosh, Somsubhra Talapatra, Sudipta Chakraborty, Navonil Chatterjee, Hafizur Rahaman, Santi P Maity, Vlsi architecture for spread spectrum image watermarking in walsh-hadamard transform domain using binary watermark, 233-238, 2012 Third International Conference on Computer and Communication Technology, 2012
  • 309 Sudipta Chakraborty, Moudud Sohid, Hafizur Rahaman, A generalized wavelet transformation technique for high selectivity over a wide frequency range, 294-298, 2012 Third International Conference on Computer and Communication Technology, 2012
  • 310 Kamalika Datta, Indranil Sengupta, Hafizur Rahaman, Group theory based reversible logic synthesis, 1-4, 2012 5th International Conference on Computers and Devices for Communication (CODEC), 2012
  • 311 Sayan Kanungo, Hafizur Rahaman, Partha Sarathi Gupta, Partha Sarathi Dasgupta, A simple analytical model of silicon on insulator tunnel FET, 1-4, 2012 5th International Conference on Computers and Devices for Communication (CODEC), 2012
  • 312 Kunal Sinha, Hafizur Rahaman, Sanatan Chattopadhyay, A study on the performance of stress induced p-channel MOSFETs with embeded Si1?xGexsource/drain, 1-4, 2012 5th International Conference on Computers and Devices for Communication (CODEC), 2012
  • 313 Debaprasad Das, Hafizur Rahaman, Modeling of IR-Drop induced delay fault in CNT and GNR power distribution networks, 1-4, 2012 5th International Conference on Computers and Devices for Communication (CODEC), 2012
  • 314 Sabir Ali Mondal, Sourav Pal, Hafizur Rahaman, Pradip Mondal, Voltage controlled current starved delay cell for Positron Emission Tomography specific DLL based high precision TDC implementation, 1-4, 2012 5th International Conference on Computers and Devices for Communication (CODEC), 2012
  • 315 Manodipan Sahoo, Prasun Ghosal, Hafizur Rahaman, Efficient and compact electrical modeling of multi walled carbon nanotube interconnects, 236-240, 2012 International Symposium on Electronic System Design (ISED), 2012
  • 316 Papia Manna, Dipak K Kole, Hafizur Rahaman, Debesh K Das, Bhargab B Bhattacharya, Reversible logic circuit synthesis using genetic algorithm and particle swarm optimization, 246-250, 2012 International Symposium on Electronic System Design (ISED), 2012
  • 317 Debaprasad Das, Sourav Das, Hafizur Rahaman, Design of 4-Bit Array Multiplier Using Multi-wall Carbon Nanotube Interconnects, 208-212, 2012 International Symposium on Electronic System Design (ISED), 2012
  • 318 Pranab Roy, Moudud Sohid, Sudipta Chakraborty, Hafizur Rahaman, Parthsarathi Dasgupta, System on Biochips: A new design for integration of multiple DMFBs, 256-260, 2012 International Symposium on Electronic System Design (ISED), 2012
  • 319 Kamalika Datta, Indranil Sengupta, Hafizur Rahaman, Particle swarm optimization based circuit synthesis of reversible logic, 226-230, 2012 International Symposium on Electronic System Design (ISED), 2012
  • 320 Partha Sarathi Gupta, Madhuchhanda Brahma, Jayita Das, Debasree Burman, Hafizur Rahaman, Partha Sarathi Dasgupta, Performance analysis and simulation study of a Sandwiched Barrier Tunnel FET, 437-440, 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), 2012
  • 321 Prasenjit Chanak, Tuhina Samanta, Hafizur Rahaman, Indrajit Banerjee, Obstacle discovery and localization scheme for wireless sensor network, 258-261, 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), 2012
  • 322 Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, A New design of a dual mode Bioassay detection analyzer for digital microfluidic biochips, 310-313, 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), 2012
  • 323 Parthasarathi Gupta, Debasree Burman, Jayita Das, Madhuchhanda Brahma, Hafizur Rahaman, Parthasarathi Dasgupta, Modeling the channel potential and threshold voltage of a fully depleted double gate junctionless FET, 149-152, 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), 2012
  • 324 Pranab Roy, Modud Sohid, Sudipta Chakraborty, A new digital analyzer for optically detected samples in Digital Microfluidic Biochips, 462-465, Proc. Of IEEE MWSCAS, Boise, Idaho, US, 2012
  • 325 47. Pranab Roy, Modud Sohid, Sudipta Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta,, System on Biochips: A new design for integration of multiple DMFBs, 256-260, Proc. Of IEEE ISED,Kolkata ,India, 2012
  • 326 48. Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, A New design of a dual mode Bioassay detection analyzer for digital microfluidic biochips, 310-313, Proc. Of IEEE CODIS 2012,Jadabpur, Kolkata,India, 2012
  • 327 Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, “ Novel designs of Digital detection analyzer for intelligent detectionand analysis in digital microfluidic Biochips, 1 - 6, Proc. of IEEE IDT , Doha, Qatar, 2012
  • 328 50. Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, Automated parallel detection based analyzer System for integrated bioassays in Digital Microfluidic Biochips, 310-315, Proc of IEEE El Nano ,kiev,Ukraine, 2012
  • 329 Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta, Bhargab B. Bhattacharya, A New Look Ahead Technique for Customized Testing in Digital Microfluidic Biochips, 25-30, Proc. of IEEE ATS 2012,Nigata,Japan, 2012
  • 330 Pranab Roy, Rupam Bhattacharjee, Modud Sohid, Sudipta Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta, An intelligent compaction technique for pin constrained routing in cross referencing digital microfluidic biochips, 423-432, Proc. of ACM CODES+ISSS 2012,Tempere,Finland, 2012
  • 331 Pranab Roy, Rupam Bhattacharjee, Hafizur Rahaman, Parthasarathi Dasgupta, A New Algorithm for Routing-Aware Net Placement in Cross-Referencing Digital Microfluidic Biochips, 320-325, Proc. of IEEE ISVLSI 2012,Amherst,MS,US, 2012
  • 332 Pranab Roy, Hafizur Rahaman, Parthasarathi dasgupta, Modelling, detection and diagnosis of multiple faults in Cross referencing DMFBs, 1107-1112, International conference on Informatics ,Electronics and Vision,Proc. Of IEEE ICIEV, 2012, Dhaka, Bangladesh, 2012
  • 333 Pranab Roy, Hafizur Rahaman and P.S.Dasgupta, A novel high performance routing technique for Cross-referencing DMFBs, 44-49, Proc. Of IEEE International Conference on Biomedical Engineering (ICOBE 2012,Penang,Malaysia), 2012
  • 334 Indrajit Banerjee, Prasenjit Chanak, Biplab Kumar Sikdar, Hafizur Rahaman, DFDNM: A distributed fault detection and node management scheme for wireless sensor network, 68-81, Advances in Computing and Communications: First International Conference, ACC 2011, Kochi, India, July 22-24, 2011, Proceedings, Part III 1, 2011
  • 335 Indrajit Banerjee, Prasenjit Chanak, Hafizur Rahaman, MFTR: Multipath Fault Tolerant Routing in Wireless Sensor Networks, 410-415, Computer Networks and Intelligent Computing: 5th International Conference on Information Processing, ICIP 2011, 2011
  • 336 Indrajit Banerjee, Prasenjit Chanak, Hafizur Rahaman, SBFDR: Sector Based Fault Detection and Recovery in Wireless Sensor Networks, 461-469, High Performance Architecture and Grid Computing: International Conference, HPAGC 2011, 2011
  • 337 Indrajit Banerjee, Prasenjit Chanak, Biplab Kumar Sikdar, Hafizur Rahaman, EER: Energy efficient routing in wireless sensor networks, 92-97, IEEE Technology Students' Symposium, 2011
  • 338 Manash Chanda, S Kundu, I Adak, A Dandapat, H Rahaman, Design and analysis of tree-multiplier using single-clocked energy efficient adiabatic Logic, 232-236, IEEE Technology Students' Symposium, 2011
  • 339 Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta, A multi-pin droplet routing algorithm for digital microfluidic biochips, 216-223, International Conference on Biomedical Electronics and Devices, 2011
  • 340 Tuhina Samanta, Sanoara Khatun, Hafizur Rahaman, Parthasarathi Dasgupta, Crosstalk aware coupled line delay tree construction for on-chip interconnects, 1-6, 12th International Symposium on Quality Electronic Design, 2011, 2011
  • 341 Indrajit Banerjee, Prasenjit Chanak, Biplab Kumar Sikdar, Hafizur Rahaman, EERIH: Energy efficient routing via information highway in sensor network, 1057-1062, International Conference on Emerging Trends in Electrical and Computer Technology, 2011, 2011
  • 342 Surajit Kumar Roy, Chandan Giri, Sourav Ghosh, Hafizur Rahaman, Optimizing test wrapper for embedded cores using TSV based 3D SOCs, 31-36, IEEE Computer Society Annual Symposium on VLSI, 2011, 2011
  • 343 Pranab Roy, Rajesh Mandal, Hafizur Rahaman, Parthasarathi Dasgupta, A Group-Preferential Parallel-Routing Algorithm for Cross-Referencing Digital Microfluidic Biochips, 317-318, IEEE Computer Society Annual Symposium on VLSI, 2011, 2011
  • 344 Debaprasad Das, Hafizur Rahaman, IR drop analysis in single-and multi-wall carbon nanotube power interconnects in sub-nanometer designs, 174-183, 3rd Asia Symposium on Quality Electronic Design (ASQED), 2011, 2011
  • 345 Nachiketa Das, Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta, Build-in-Self-Test of FPGA for diagnosis of delay fault, 54-61, 3rd Asia Symposium on Quality Electronic Design (ASQED), 2011, 2011
  • 346 Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta, Routing-aware placement technique for intelligent collision avoidance in digital microfluidic biochips, 85-94, 3rd Asia Symposium on Quality Electronic Design (ASQED), 2011, 2011
  • 347 Sabir Ali Mondal, Somsubhra Talapatra, Hafizur Rahaman, Analysis, modeling and optimization of transmission gate delay, 246-253, 3rd Asia Symposium on Quality Electronic Design (ASQED), 2011, 2011
  • 348 Nachiketa Das, Pranab Roy, Hafizur Rahaman, New technique for testing of delay fault in cluster based FPGA, 1-4, IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), 2011, 2011
  • 349 Pranab Roy, Sukanta Roy, Hafizur Rahaman, Parthasarathi Dasgupta, A novel placement algorithm for multi-pin digital microfluidic biochips, 1-4, IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), 2011, 2011
  • 350 Surajit Kumar Roy, Chandan Giri, Sourav Ghosh, Hafizur Rahaman, Wrapper design of embedded cores for three dimensional system-on-chips (SoC) using available TSVs, 1-4, IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), 2011, 2011
  • 351 Debaprasad Das, Hafizur Rahaman, RF performance analysis of single-and multi-wall carbon nanotube interconnect, 1-6, 2011 Annual IEEE India Conference, 2011
  • 352 Debaprasad Das, Hafizur Rahaman, Crosstalk and gate oxide reliability analysis in graphene nanoribbon interconnects, 182-187, International Symposium on Electronic System Design, 2011, 2011
  • 353 Surajit Kumar Roy, Chandan Giri, Arnab Chakraborty, Subhro Mukherjee, Debesh K Das, Hafizur Rahaman, Optimizing test architecture for TSV based 3D stacked ICs using hard SOCs, 230-235, International Symposium on Electronic System Design, 2011, 2011
  • 354 Surajit Kumar Roy, Chandan Giri, Sourav Ghosh, Hafizur Rahaman, Optimization of test wrapper for TSV based 3D SOCs, 188-193, International Symposium on Electronic System Design, 2011, 2011
  • 355 Nachiketa Das, Pranab Roy, Hafizur Rahaman, Runtime Congestion and Crosstalk Aware Router for FPGA Using Jbits3. 0 for Partial Reconfigurable Application, 146-151, International Symposium on Electronic System Design, 2011, 2011
  • 356 Dipak K Kole, Hafizur Rahaman, Debesh K Das, Bhargab B Bhattacharya, Derivation of automatic test set for detection of missing gate faults in reversible circuits, 200-205, International Symposium on Electronic System Design, 2011, 2011
  • 357 Pranab Roy, Hafizur Rahaman, Rupam Bhattacharya, Parthasarathi Dasgupta, A best path selection based parallel router for DMFBs, 176-181, International Symposium on Electronic System Design, 2011, 2011
  • 358 Indrajit Pan, Parthasarathi Dasgupta, Hafizur Rahaman, Tuhina Samanta, Ant colony optimization based droplet routing technique in digital microfluidic biochip, 223-229, International Symposium on Electronic System Design, 2011, 2011
  • 359 Indrajit Banerjee, Prasenjit Chanak, Anirban Datta, Hafizur Rahaman, DJSS: Distributed job scheduling scheme for WSN, 145-150, International Conference on Recent Trends in Information Systems, 2011, 2011
  • 360 Pranab Roy, Rupam Bhattacharya, Hafizur Rahaman and Parthasarathi Dasgupta, A Best Path Selection Based Parallel Router For DMFBs, 176-181, Proc. of IEEE International Symposium on Electronic Design ISED 2011,Kochi,India,, 2011
  • 361 Nachiketa Das, Pranab Roy and Hafizur Rahaman, Runtime Congestion and Crosstalk Aware Router for FPGA Using Jbits3.0 for Partial Reconfigurable Application, 146-151, IEEE International Symposium on Electronic Design (ISED 2011), 2011
  • 362 Pranab Roy, Sukanta Roy, Hafizur Rahaman, and Parthasarathi Dasgupta, A Novel Placement algorithm for Multi-pin Digital Microfluidic Biochips, 1 - 6, Proc. of IEEE MWSCAS, 2011
  • 363 Pranab Roy, Hafizur Rahaman, and Parthasarathi Dasgupta, Route Aware Placement Technique for Intelligent Collision Avoidance in Digital Microfluidic Biochips, 85-90, Proc. of IEEE ASQED,Kualalampur,Malaysia, 2011
  • 364 61. Nachiketa. Das,Pranab Roy,Parthasarathi Dasgupta and H. Rahaman, Build-In-Self-Test of FPGA For Diagnosis of Delay Fault, 54-59, IEEE ASQED ,Kualalampur,Malaysia, 2011
  • 365 Pranab Roy, Hafizur Rahaman, and Parthasarathi Dasgupta, A Group-Preferential Parallel-Routing Algorithm for Cross-referencing Digital Microfluidic Biochips, 317-319, Proc. of IEEE/ACM ISVLSI Chennai,India, 2011
  • 366 Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta, Hierarchical Multi-pin droplet routing in Digital Microfluidic Biochips with Intelligent Collision Avoidance, 229-234, Proc. of ACM Great Lakes Symposium on VLSI 2011 (GLSVLSI 2011),Lausanne ,Switzerland, 2011
  • 367 Nachiketa Das, Pranab Roy, and Hafizur Rahaman, On-Line Detection of Crosstalk Fault in FPGA Using BIST Model,” VLSI Design and Test Symposium, 1 - 6, VDAT,2011, 2011
  • 368 Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta, A Multipin droplet routing algorithm for Digital Microfluidic Biochips biodevices, 217-223, Proc. of INSTICC Biodevices, 2011 ,Rome,Italy, 2011
  • 369 Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta, Cluster Based Routing For Multi Pin Droplets In Digital Microfluidic Biochips with Intelligent Collision Avoidance, 1 - 6, Proc. of VLSI Design and Test Symposium(VDAT 2011),Pune,India, 2011
  • 370 Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta, A Novel Droplet Routing Algorithm for Digital Microfluidic Biochips, 441-446, Proc. of ACM/IEEE GLSVLSI ,Providance,USA, 2010
  • 371 Pranab Roy, Tuhina Samanta, Hafizur Rahaman, Parthasarathi Dasgupta, “New Techniques for Droplet Routing in Digital Microfluidic Biochips, 1 - 6, Proc. of VLSI Design and Test Symposium (VDAT 2010) ,Chandigarh, India, 2010
  • 372 Jimson Mathew, Hafizur Rahaman, Abusaleh M Jabir, Saraju P Mohanty, Dhiraj K Pradhan, On the design of different concurrent EDC schemes for s-box and gf (p), 211-218, 2010 11th International Symposium on Quality Electronic Design (ISQED), 2010
  • 373 Dipak K Kole, Hafizur Rahaman, Debesh K Das, Bhargab B Bhattacharya, Notice of Removal: Synthesis of online testable reversible circuit, 277-280, 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010
  • 374 Debaprasad Das, Hafizur Rahaman, A novel signed array multiplier, 19-23, 2010 International Conference on Advances in Computer Engineering, 2010
  • 375 Kamalesh Singha, Tuhina Samanta, Hafizur Rahaman, Parthasarathi Dasguptay, Method of droplet routing in digital microfluidic biochip, 251-256, Proceedings of 2010 IEEE/ASME International Conference on Mechatronic and Embedded Systems and Applications, 2010
  • 376 Debaprasad Das, Hafizur Rahaman, Crosstalk analysis in carbon nanotube interconnects and its impact on gate oxide reliability, 272-279, 2nd Asia Symposium on Quality Electronic Design (ASQED), 2010
  • 377 Sudip Ghosh, Somsubhra Talapatra, Santi P Maity, Hafizur Rahaman, A novel VLSI architecture for Walsh-Hadamard transform, 146-150, 2nd Asia Symposium on Quality Electronic Design (ASQED), 2010
  • 378 Somsubhra Talapatra, Hafizur Rahaman, Samir K Saha, Unified digit serial systolic Montgomery multiplication architecture for special classes of polynomials over GF (2m), 427-432, 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, 2010
  • 379 Somsubhra Talapatra, Hafizur Rahaman, Low complexity montgomery multiplication architecture for elliptic curve cryptography over GF(pm), 219-224, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2010
  • 380 Jimson Mathew, Savita Banerjee, Hafizur Rahaman, Dhiraj K Pradhan, Saraju P Mohanty, Abusaleh M Jabir, On the synthesis of attack tolerant cryptographic hardware, 286-291, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2010
  • 381 Indrajit Banerjee, Sukanta Das, Hafizur Rahaman, Biplab K Sikdar, Mamata Dalui, SSMCA: CA based Segmented Sensor Network Management scheme, 177-184, 2010 IEEE International Conference on Systems, Man and Cybernetics, 2010
  • 382 Prasun Ghosal, Hafizur Rahaman, Parthasarathi Dasgupta, Thermal aware placement in 3D ICs, 66-70, 2010 International Conference on Advances in Recent Technologies in Communication and Computing, 2010
  • 383 M Chanda, S Naha, S Manna, A Dandapat, H Rahaman, Implementation of Ultra Low-Power 8 Bit CLA Using Single Phase Adiabatic Dynamic Logic, 360-364, 2010 International Conference on Advances in Recent Technologies in Communication and Computing, 2010
  • 384 Debasis Mitra, Sarmishtha Ghoshal, Hafizur Rahaman, Krishnendu Chakrabarty, Bhargab B Bhattacharya, Testing of digital microfluidic biochips using improved eulerization techniques and the Chinese postman problem, 111-116, 2010 19th IEEE Asian Test Symposium, 2010
  • 385 Dipak K Kole, Hafizur Rahaman, Debesh K Das, Bhargab B Bhattacharya, Derivation of optimal test set for detection of multiple missing-gate faults in reversible circuits, 33-38, 2010 19th IEEE Asian Test Symposium, 2010
  • 386 Goutam Mali, Suman Das, Hafizur Rahaman, Chandan Giri, Non-preemptive test scheduling for Network-on-Chip (NoC) based systems by reusing NoC as TAM, 268-271, 2010 IEEE Asia Pacific Conference on Circuits and Systems, 2010
  • 387 Prasun Ghosal, Hafizur Rahaman, Parthasarathi Dasgupta, Minimizing thermal disparities during placement in 3d ics, 160-167, 2010 13th IEEE International Conference on Computational Science and Engineering, 2010
  • 388 Surajit Kumar Roy, Sourav Ghosh, Hafizur Rahaman, Chandan Giri, Test wrapper design for 3D system-on-chip using optimized number of TSVs, 197-202, 2010 International Symposium on Electronic System Design, 2010
  • 389 Dipak K Kole, Hafizur Rahaman, Debesh K Das, Bhargab B Bhattacharya, Optimal reversible logic circuit synthesis based on a hybrid dfs-bfs technique, 208-212, 2010 International Symposium on Electronic System Design, 2010
  • 390 Debaprasad Das, Hafizur Rahaman, Timing analysis in carbon nanotube interconnects with process, temperature, and voltage variations, 27-32, 2010 International Symposium on Electronic System Design, 2010
  • 391 N. Das, Pranab Roy, and H. Rahaman, On Line Testing of Single Feedback Bridging Fault in Cluster Based FPGA by Using Asynchronous Element, 190-191, proc. of IEEE International On-Line Testing Symposium,Kos,Grecce, 2009
  • 392 73. S. Ghosh, Pranab Roy, S. P. Maity and H. Rahaman, Spread Spectrum Image Watermarking with Digital Design, 2118-2123, IEEE International Advance Computing Conference (IACC’09), Hamirpur , India, 2009
  • 393 Tuhina Samantam, Hafizur Rahaman, Prasun Ghosal, Parthasarathi Dasgupta, A method for the multi-net multi-pin routing problem with layer assignment, 387-392, 2009 22nd International Conference on VLSI Design, 2009
  • 394 M Chanda, A Dandapat, H Rahaman, Ultra low-power sequential circuit implementation by a Quasi-Static Single phase Adiabatic Dynamic Logic (SPADL), 1-5, TENCON 2009-2009 IEEE Region 10 Conference, 2009
  • 395 Sudip Ghosh, Pranab Ray, Santi P Maity, Hafizur Rahaman, Spread spectrum image watermarking with digital design, 868-873, 2009 IEEE International Advance Computing Conference, 2009
  • 396 Amit Phadikar, Santi P Maity, Hafizur Rahaman, Region Specific Spatial Domain Image Watermnarking Scheme, 888-893, 2009 IEEE International Advance Computing Conference, 2009
  • 397 Ashutosh Kumar Singh, Asish Bera, Hafizur Rahaman, Jimson Mathew, Dhiraj K Pradhan, Error detecting dual basis bit parallel systolic multiplication architecture over GF (2m), 1-4, 2009 IEEE Circuits and Systems International Conference on Testing and Diagnosis, 2009
  • 398 Hafizur Rahaman, Jimson Mathew, A Jabir, Dhiraj K Pradhan, C-testable S-box implementation for secure advanced encryption standard, 210-211, 2009 15th IEEE International On-Line Testing Symposium, 2009
  • 399 M Chanda, A Dandapat, H Rahaman, Low-power sequential circuit using single phase adiabatic dynamic logic, 1-4, 2009 4th International Conference on Computers and Devices for Communication (CODEC), 2009
  • 400 Chandan Giri, Surajit Kumar Roy, Baishali Banerjee, Hafizur Rahaman, Scan chain design targeting dual power and delay optimization for 3D integrated circuit, 845-849, 2009 International Conference on Advances in Computing, Control, and Telecommunication Technologies, 2009
  • 401 Hafizur Rahaman, Dipak K Kole, Debesh K Das, Bhargab B Bhattacharya, On the detection of missing-gate faults in reversible circuits by a universal test set, 163-168, 21st international conference on VLSI design (VLSID 2008), 2008
  • 402 Jimson Mathew, A Costas, Abusaleh M Jabir, Hafizur Rahaman, Dhiraj K Pradhan, Single error correcting finite field multipliers over GF (2m), 33-38, 21st International Conference on VLSI Design (VLSID 2008), 2008
  • 403 Jimson Mathew, Hafizur Rahaman, Babita R Jose, Dhiraj K Pradhan, Design of reversible finite field arithmetic circuits with error detection, 453-459, 21st international conference on VLSI design (VLSID 2008), 2008
  • 404 Jimson Mathew, Hafizur Rahaman, Ashutosh Kumar Singh, Abusaleh M Jabir, Dhiraj K Pradhan, A galois field based logic synthesis approach with testability, 629-634, 21st International Conference on VLSI Design (VLSID 2008), 2008
  • 405 Indrajit Banerjee, Hafizur Rahaman, Biplab K Sikdar, UDDN: Unidirectional data dissemination via negotiation, 1-5, 2008 International Conference on Information Networking, 2008
  • 406 Prasun Ghosal, Tuhina Samanta, Hafizur Rahaman, Parthasarathi Dasgupta, Thermal-aware placement of standard cells and gate arrays: Studies and observations, 369-374, 2008 IEEE Computer Society Annual Symposium on VLSI, 2008
  • 407 Nachiketa Das, Pranab Roy, Hafizur Rahaman, On line testing of single feedback bridging fault in cluster based FPGA by using Asynchronous element, 190-191, 2008 14th IEEE International On-Line Testing Symposium, 2008
  • 408 Debasis Mitra, Sarmishtha Ghoshal, Hafizur Rahaman, Bhargab B Bhattacharya, D Dutta Majumder, Krishnendu Chakrabarty, Accelerated functional testing of digital microfluidic biochips, 295-300, 2008 17th Asian Test Symposium, 2008
  • 409 Hafizur Rahaman, Jimson Mathew, Dhiraj K Pradhan, Constant function independent test set for fault detection in bit parallel multipliers in GF (2^ m), 479-484, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07), 2007
  • 410 Tuhina Samanta, Prasun Ghosal, Hafizur Rahaman, Parthasarathi Dasgupta, Minimum-Congestion Placement for Y-interconnects: Some studies and observations, 73-80, IEEE Computer Society Annual Symposium on VLSI (ISVLSI'07), 2007
  • 411 Hafizur Rahaman, Jimson Mathew, Biplab K Sikdar, Dhiraj K Pradhan, Transition Fault Testability in Bit Parallel Multipliers over GF (2^{m}), 422-430, 25th IEEE VLSI Test Symposium (VTS'07), 2007
  • 412 Jimson Mathew, Hafizur Rahaman, Dhiraj K Pradhan, Efficient Testable Bit Parallel Multipliers over GF (2^ m) with Constant Test set, 207-208, 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007
  • 413 Indrajit Banerjee, Sukanta Das, Hafizur Rahaman, Biplab K Sikder, CA based sensor node management scheme: An energy efficient approach, 2795-2798, 2007 International Conference on Wireless Communications, Networking and Mobile Computing, 2007
  • 414 Hafizur Rahaman, Dipak K Kole Dipak K Kole, Debesh K Das, Bhargab B Bhattacharya, Optimum test set for bridging fault detection in reversible circuits, 125-128, 16th Asian test symposium (ATS 2007), 2007
  • 415 J Mathew, H Rahaman, AM Jabir, DK Pradhan, Area efficient pseudo-parallel Galois field multipliers, 1-4, Norchip 2007, 2007
  • 416 Tuhina Samanta, Prasun Ghosal, Hafizur Rahaman, Parthasarathi Dasgupta, A heuristic method for constructing hexagonal Steiner minimal trees for routing in VLSI, 4 pp., 2006 IEEE international symposium on circuits and systems, 2006
  • 417 H Rahaman, BK Sikdar, DK Das, Synthesis of symmetric functions using quantum cellular automata, 119-124, International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 2006. DTIS 2006, 2006
  • 418 Indrajit Banerjee, Sukanta Das, Hafijur Rahaman, Biplab K Sikdar, An energy effilcient monitoring of ad-hoc sensor network with cellular automata, 6, 5100-5105, 2006 IEEE International Conference on Systems, Man and Cybernetics, 2006
  • 419 Hafizur Rahaman, Jimson Mathew, Abusaleh M Jabir, Dhiraj K Pradhan, Easily Testable Implementation for Bit Parallel Multipliers in GF (2m), 48-54, 2006 IEEE International High Level Design Validation and Test Workshop, 2006
  • 420 Prasun Ghosal, Tuhina Samanta, Hafizur Rahaman, Parthasarathi Dasgupta, Recent Trends in the Application of Meta-Heuristics to VLSI Layout Design, 232-251, IICAI, 2005
  • 421 Sukanta Das, Hafizur Rahaman, Biplab K Sikdar, Cost optimal design of nonlinear CA based PRPG for test applications, 284-287, 14th Asian Test Symposium (ATS'05), 2005
  • 422 Hafizur Rahaman, Debesh K Das, A simple delay testable synthesis of symmetric functions, 263-270, Applied Computing: Second Asian Applied Computing Conference, AACC 2004, Kathmandu, Nepal, October 29-31, 2004. Proceedings 2, 2004
  • 423 Hafizur Rahaman, Debesh K Das, Bhargab B Bhattacharya, Easily testable realization of GRM and ESOP networks for detecting stuck-at and bridging faults, 487-492, 17th International Conference on VLSI Design. Proceedings, 2004
  • 424 Hafizur Rahaman, Debesh K Das, Bhargab B Bhattacharya, Testable design of GRM network with EXOR-tree for detecting stuck-at and bridging faults, 224-229, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No. 04EX753), 2004
  • 425 Hafizur Rahaman, Debesh K Das, Bhargab B Bhattacharya, A new synthesis of symmetric functions, 160-165, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design, 2002
  • 426 Hafizur Rahaman, Debesh K Das, Bhargab B Bhattacharya, Transition count based BIST for detecting multiple stuck-open faults in CMOS circuits, 307-310, Proceedings of Second IEEE Asia Pacific Conference on ASICs. AP-ASIC 2000 (Cat. No. 00EX434), 2000
  • 427 Hafizur Rahaman, Debesh K Das, Bhargab B Bhattacharya, An adaptive BIST to detect multiple stuck-open faults in CMOS circuits, 287-290, Proceedings of the ASP-DAC'99 Asia and South Pacific Design Automation Conference 1999 (Cat. No. 99EX198), 1999
  • 1 Santasri Giri Tunga, Hafizur Rahaman, Subhajit Das, Temperature-Dependent RF Performance Analysis of GNR-Based Nano-Interconnect Systems, 73-83, Nano-Interconnect Materials and Models for Next Generation Integrated Circuit Design, 2023
  • 2 J.Jana, K.Das , H.Saha, Maximum Power Point Tracking: Background, Implementation and Classification , Editor: Maurice Hébert, Maximum Power Point Tracking: Background, Implementation and Classification, 2021
  • 3 J.Jana, K.Das , H.Saha ,, MPPT Charge Controller for Battery Connected PV Power Conditioning Unit, Maximum Power Point Tracking: Background, Implementation and Classification, Editor: Maurice Hébert; Publication Date: August 2020, 2020
  • 4 Kasturi Ghosh, Hafizur Rahaman, Partha Bhattacharyya, Chemically Functionalized Penta-Graphene for Electronic Device Applications: Journey from Theoretical Prediction to Practical Implementation, in the book ‘Graphene Functionalization Strategies’, Springer Nature Pte. Ltd., Singapore, 2019
  • 5 Debaprasad Das, Hafizur Rahaman, Carbon nanotube and graphene nanoribbon interconnects, CRC press, 2017
  • 6 Ripla Roy Chowdhury, Chandan Bandyopadhyay, Pratik Dutta, Hafizur Rahaman, A Boolean expression based template matching technique for optical circuit generation, 1-6, Proceedings of the International Conference on Advances in Information Communication Technology & Computing, 2016
  • 7 Arighna Deb, Debesh K Das, Hafizur Rahaman, Bhargab B Bhattacharya, Reversible synthesis of symmetric Boolean functions based on unate decomposition, 351-352, Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSI, 2013
  • 8 Jimson Mathew, H Rahaman, DK Pradhan, Low Cost C-Testable Finite Field Multiplier Architectures, 307-331, Energy-Efficient Fault-Tolerant Systems, 2013
  • 9 Tuhina Samanta, Raka Sardar, Hafizur Rahaman, Parthasarathi Dasgupta, Bhargab B Bhattacharya, A heuristic method for obstacle avoiding group Steiner tree construction, 21-21, Proceedings of the International Workshop on System Level Interconnect Prediction, 2012
  • 10 Pranab Roy, Rupam Bhattacharjee, Modud Sohid, Sudipta Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta, An intelligent compaction technique for pin constrained routing in cross referencing digital microfluidic biochips, 423-432, Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, 2012
  • 11 Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta, Fast high-performance algorithms for multi-pin droplet routing in digital microfluidic biochips, 229-234, Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLS, 2011
  • 12 Debasis Mitra, Sarmishtha Ghoshal, Hafizur Rahaman, Krishnendu Chakrabarty, Bhargab B Bhattacharya, On residue removal in digital microfluidic biochips, 391-394, Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, 2011
  • 13 Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta, A novel droplet routing algorithm for digital microfluidic biochips, 441-446, Proceedings of the 20th symposium on Great lakes symposium on VLSI, 2010
  • 14 Tuhina Samanta, Prasun Ghosal, Hafizur Rahaman, Parthasarathi Dasgupta, Revisiting fidelity: A case of elmore-based y-routing trees, 27-34, Proceedings of the 2008 international workshop on system level interconnect prediction, 2008
  • 15 Hafizur Rahaman, Debesh K Das, Bridging fault detection in double fixed-polarity Reed-Muller (DFPRM) PLA, 172-177, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, 2005
  • Contact Us


    Contact our HOD for more information

    Prof. Hafizur Rahaman

    Email: hod@vlsi.iiests.ac.in
    rahaman_h@it.iiests.ac.in and hafizur@vlsi.iiests.ac.in
    Phone:  +91 - 33 - 26684561/62/63 Ext. 249, 309